Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
xst.pdf
Скачиваний:
141
Добавлен:
11.06.2015
Размер:
5.64 Mб
Скачать

Chapter 6: XST VHDL Language Support

Table 6-3: VHDL Initial Values (Cont’d)

R

Type

IEEE

XST

 

 

 

bit_vector (3 downto 0)

0000

0000

 

 

 

std_logic_vector

0000

0000

(3 downto 0)

 

 

 

 

 

integer (unconstrained)

integer'left

integer'left

 

 

 

integer range 7 downto 0

integer'left = 7

integer'left = 7

 

 

(coded as 111)

 

 

 

integer range 0 to 7

integer'left = 0

integer'left = 0

 

 

(coded as 000)

 

 

 

Boolean

FALSE

FALSE (coded as 0)

 

 

 

enum(S0,S1,S2,S3)

type'left = S0

type'left = S0

 

 

(coded as 000)

 

 

 

Default Initial Values on Unconnected Ports in VHDL

Unconnected output ports default to the values shown in the XST column of Table 6-3, “VHDL Initial Values.” If the output port has an initial condition, XST ties the unconnected output port to the explicitly defined initial condition. According to the IEEE VHDL specification, input ports cannot be left unconnected. As a result, XST issues an error message if an input port is not connected. Even the open keyword is not sufficient for an unconnected input port.

VHDL Objects

This section discusses VHDL Objects, and includes:

“Signals in VHDL”

“Variables in VHDL”

“Constants in VHDL”

Signals in VHDL

Signals in VHDL can be declared in an architecture declarative part and used anywhere within the architecture. Signals can also be declared in a block and used within that block. Signals can be assigned by the assignment operator <=.

signal sig1 : std_logic; sig1 <= '1';

Variables in VHDL

Variables in VHDL are declared in a process or a subprogram, and used within that process or that subprogram. Variables can be assigned by the assignment operator :=.

variable var1 : std_logic_vector (7 downto 0); var1 := "01010011";

464

www.xilinx.com

XST User Guide

 

 

10.1

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]