Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
xst.pdf
Скачиваний:
141
Добавлен:
11.06.2015
Размер:
5.64 Mб
Скачать

Chapter 3: XST FPGA Optimization

R

Two types of ROM are available during inference and generation:

Distributed ROM

Distributed ROMs are generated by using the optimal tree structure of LUT, MUXF5, MUXF6, MUXF7 and MUXF8 primitives, which allows compact implementation of large inferred ROMs.

Block ROM

Block ROMs are generated by using block RAM resources. When a synchronous ROM is identified, it can be inferred either as a distributed ROM plus a register, or it can be inferred using block RAM resources.

“ROM Style (ROM_STYLE)” specifies which type of synchronous ROM XST infers:

If set to block, and the ROM fits entirely on a single block of RAM, XST infers the ROM using block RAM resources.

If set to distributed, XST infers a distributed ROM plus register.

If set to auto, XST determines the most efficient method to use, and infers the ROM accordingly. Auto is the default.

You can apply “RAM Style (RAM_STYLE)” as a VHDL attribute or a Verilog meta comment to an individual signal, or to the entity or module of the ROM. “RAM Style (RAM_STYLE)” can also be applied globally from Project Navigator > Process Properties, or from the command line.

DSP48 Block Resources

XST can automatically implement the following macros on a DSP48 block:

Adders/subtractors

Accumulators

Multipliers

Multiply adder/subtractors

Multiply accumulate (MAC)

XST also supports the registered versions of these macros.

Macro implementation on DSP48 blocks is controlled by the “Use DSP48 (USE_DSP48)” constraint or command line option with a default value of auto.

In auto mode, XST attempts to implement accumulators, multipliers, multiply adder/subtractors and MACs on DSP48 resources. XST does not implement adders/subtractors on DSP48 resources in auto mode. To push adder/subtractors into a DSP48, set the “Use DSP48 (USE_DSP48)” constraint or command line option value to yes.

XST performs automatic resource control in auto mode for all macros. Use the “DSP Utilization Ratio (DSP_UTILIZATION_RATIO)” constraint in this mode to control available DSP48 resources for the synthesis. By default, XST tries to utilize all available DSP48 resources as much as possible.

If the number of user-specified DSP slices exceeds the number of available DSP resources on the target FPGA device, XST issues a warning, and uses only available DSP resources on the chip for synthesis. Disable automatic DSP resource management to see the number of DSPs that XST can potentially infer for a specific design. To disable automatic DSP resource management, set value = -1.

264

www.xilinx.com

XST User Guide

 

 

10.1

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]