Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
xst.pdf
Скачиваний:
141
Добавлен:
11.06.2015
Размер:
5.64 Mб
Скачать

R

Verilog Constructs Supported in XST

XST supports:

Both C-style and Verilog style meta comments

“Translate Off (TRANSLATE_OFF) and Translate On (TRANSLATE_ON)” constraints

//synthesis translate_on

//synthesis translate_off

“Parallel Case (PARALLEL_CASE)” constraints

//synthesis parallel_case full_case

//synthesis parallel_case

//synthesis full_case

Constraints on individual objects

The general syntax is:

// synthesis attribute AttributeName [of] ObjectName [is] AttributeValue

Verilog Meta Comments Coding Examples

//synthesis attribute RLOC of u123 is R11C1.S0

//synthesis attribute HUSET u1 MY_SET

//synthesis attribute fsm_extract of State2 is "yes"

//synthesis attribute fsm_encoding of State2 is "gray"

Verilog Constructs Supported in XST

This section discusses Verilog Constructs Supported in XST, and includes:

“Verilog Constants Supported in XST”

“Verilog Data Types Supported in XST”

“Verilog Continuous Assignments Supported in XST”

“Verilog Procedural Assignments Supported in XST”

“Verilog Design Hierarchies Supported in XST”

“Verilog Compiler Directives Supported in XST”

XST does not allow underscores as the first character of signal names (for example, _DATA_1)

Verilog Constants Supported in XST

Table 7-3: Verilog Constants Supported in XST

Constant

Supported/Unsupported

 

 

Integer Constants

Supported

 

 

Real Constants

Supported

 

 

Strings Constants

Unsupported

 

 

XST User Guide

www.xilinx.com

511

10.1

Chapter 7: XST Verilog Language Support

R

Verilog Data Types Supported in XST

This section discusses Verilog Data Types Supported in XST, and includes:

“Verilog Net Types Supported in XST”

“Verilog Drive Strengths Supported in XST”

“Verilog Registers Supported in XST”

“Verilog Vectors Supported in XST”

“Verilog Multi-Dimensional Arrays Supported in XST”

“Verilog Parameters Supported in XST”

“Verilog Named Events Supported in XST”

Verilog Net Types Supported in XST

Table 7-4: Verilog Net Types Supported in XST

Net Type

Supported/Unsupported

 

 

wire

Supported

 

 

tri

Supported

 

 

supply0, supply1

Supported

 

 

wand, wor, triand, trior

Supported

 

 

tri0, tri1, trireg

Unsupported

 

 

Verilog Drive Strengths Supported in XST

Table 7-5: Verilog Drive Strengths Supported in XST

Drive Strength

Supported/Unsupported

 

 

All drive strengths

Ignored

 

 

Verilog Registers Supported in XST

Table 7-6: Verilog Registers Supported in XST

Register

Supported/Unsupported

 

 

reg

Supported

 

 

integer

Supported

 

 

real

Unsupported

 

 

realtime

Unsupported

 

 

Verilog Vectors Supported in XST

Table 7-7: Verilog Vectors Supported in XST

Vector

Supported/Unsupported

 

 

net

Supported

 

 

reg

Supported

 

 

512

www.xilinx.com

XST User Guide

 

 

10.1

R

Verilog Constructs Supported in XST

Table 7-7: Verilog Vectors Supported in XST

Vector

Supported/Unsupported

 

 

vectored

Supported

 

 

scalared

Supported

 

 

Verilog Multi-Dimensional Arrays Supported in XST

Table 7-8: Verilog Multi-Dimensional Arrays Supported in XST

Multi-Dimensional Array

Supported/Unsupported

 

 

All multi-dimensional arrays

Supported

 

 

Verilog Parameters Supported in XST

Table 7-9: Verilog Parameters Supported in XST

Parameter Supported/Unsupported

All parameters

Supported

Verilog Named Events Supported in XST

Table 7-10: Verilog Named Events Supported in XST

Named Event

Supported/Unsupported

 

 

All named events

Unsupported

 

 

Verilog Continuous Assignments Supported in XST

Table 7-11: Verilog Continuous Assignments Supported in XST

Continuous Assignment

Supported/Unsupported

 

 

Drive Strength

Ignored

 

 

Delay

Ignored

 

 

Verilog Procedural Assignments Supported in XST

This section discusses Verilog Procedural Assignments Supported in XST, and includes:

“Verilog Blocking Assignments Supported in XST”

“Verilog Non-Blocking Assignments Supported in XST”

“Verilog Continuous Procedural Assignments Supported in XST”

“Verilog If Statements Supported in XST”

“Verilog Case Statements Supported in XST”

“Verilog Forever Statements Supported in XST”

“Verilog Repeat Statements Supported in XST”

“Verilog While Statements Supported in XST”

“Verilog For Statements Supported in XST”

“Verilog Fork/Join Statements Supported in XST”

XST User Guide

www.xilinx.com

513

10.1

Chapter 7: XST Verilog Language Support

R

“Verilog Timing Control on Procedural Assignments Supported in XST”

“Verilog Sequential Blocks Supported in XST”

“Verilog Parallel Blocks Supported in XST”

“Verilog Specify Blocks Supported in XST”

“Verilog Initial Statements Supported in XST”

“Verilog Always Statements Supported in XST”

“Verilog Tasks Supported in XST”

“Verilog Functions Supported in XST”

“Verilog Disable Statement Supported in XST”

Verilog Blocking Assignments Supported in XST

Table 7-12: Verilog Blocking Assignments Supported in XST

Blocking Assignment

Supported/Unsupported

 

 

All blocking assignments

Supported

 

 

Verilog Non-Blocking Assignments Supported in XST

Table 7-13: Verilog Non-Blocking Assignments Supported in XST

Non-Blocking Assignment

Supported/Unsupported

 

 

All non-blocking assignments

Supported

 

 

Verilog Continuous Procedural Assignments Supported in XST

Table 7-14: Verilog Continuous Procedural Assignments Supported in XST

Continuous Procedural

Supported/Unsupported

Assignment

 

 

 

assign

Supported with limitations.

 

See “Behavioral Verilog

 

Assign and Deassign

 

Statements.”

 

 

deassign

Supported with limitations.

 

See “Behavioral Verilog

 

Assign and Deassign

 

Statements.”

 

 

force

Unsupported

 

 

release

Unsupported

 

 

Verilog If Statements Supported in XST

Table 7-15: Verilog If Statements Supported in XST

If Statement

Supported/Unsupported

 

 

if, if else

Supported

 

 

514

www.xilinx.com

XST User Guide

 

 

10.1

R

Verilog Constructs Supported in XST

Verilog Case Statements Supported in XST

Table 7-16: Verilog Case Statements Supported in XST

Case Statement

Supported/Unsupported

 

 

case, casex, casez

Supported

 

 

Verilog Forever Statements Supported in XST

Table 7-17: Verilog Forever Statements Supported in XST

Forever Statement

Supported/Unsupported

 

 

All forever statements

Unsupported

 

 

Verilog Repeat Statements Supported in XST

Table 7-18: Verilog Repeat Statements Supported in XST

Repeat Statement

Supported/Unsupported

 

 

All Repeat statements

Supported (repeat value must

 

be constant)

 

 

Verilog While Statements Supported in XST

Table 7-19: Verilog While Statements Supported in XST

While Statement

Supported/Unsupported

 

 

All While statements

Supported

 

 

Verilog For Statements Supported in XST

Table 7-20: Verilog For Statements Supported in XST

For Statement

Supported/Unsupported

 

 

All For statements

Supported (bounds must be

 

static)

 

 

Verilog Fork/Join Statements Supported in XST

Table 7-21: Verilog Fork/Join Statements Supported in XST

Fork/Join Statement

Supported/Unsupported

 

 

All Fork/Join statements

Unsupported

 

 

Verilog Timing Control on Procedural Assignments Supported in XST

Table 7-22: Verilog Timing Control on Procedural Assignments Supported in XST

Timing Control on

Supported/Unsupported

Procedural Assignment

 

 

 

delay (#)

Ignored

 

 

event (@)

Unsupported

 

 

XST User Guide

www.xilinx.com

515

10.1

Chapter 7: XST Verilog Language Support

Table 7-22: Verilog Timing Control on Procedural Assignments Supported in XST

Timing Control on

Supported/Unsupported

Procedural Assignment

 

 

 

wait

Unsupported

 

 

named events

Unsupported

 

 

R

Verilog Sequential Blocks Supported in XST

Table 7-23: Verilog Sequential Blocks Supported in XST

Sequential Blocks

Supported/Unsupported

 

 

All Sequential Blocks

Supported

 

 

Verilog Parallel Blocks Supported in XST

Table 7-24: Verilog Parallel Blocks Supported in XST

Parallel Blocks

Supported/Unsupported

 

 

All Parallel Blocks

Unsupported

 

 

Verilog Specify Blocks Supported in XST

Table 7-25: Verilog Specify Blocks Supported in XST

Specify Blocks

Supported/Unsupported

 

 

All Specify Blocks

Ignored

 

 

Verilog Initial Statements Supported in XST

Table 7-26: Verilog Initial Statements Supported in XST

Initial Statements

Supported/Unsupported

 

 

All Initial Statements

Supported

 

 

Verilog Always Statements Supported in XST

Table 7-27: Verilog Always Statements Supported in XST

Always Statements

Supported/Unsupported

 

 

All Always Statements

Supported

 

 

Verilog Tasks Supported in XST

Table 7-28: Verilog Tasks Supported in XST

Task

Supported/Unsupported

 

 

All Tasks

Supported

 

 

516

www.xilinx.com

XST User Guide

 

 

10.1

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]