Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
xst.pdf
Скачиваний:
141
Добавлен:
11.06.2015
Размер:
5.64 Mб
Скачать

R

VHDL Constructs Supported in XST

Using Synopsys Packages to Define VHDL Models

The following Synopsys packages are supported in the IEEE library:

std_logic_arith

Supports types unsigned, signed vectors, and all overloaded arithmetic operators on these types. It also defines conversion and extended functions for these types.

std_logic_unsigned

Defines arithmetic operators on std_ulogic_vector and considers them as unsigned operators.

std_logic_signed

Defines arithmetic operators on std_logic_vector and considers them as signed operators.

std_logic_misc

Defines supplemental types, subtypes, constants, and functions for the std_logic_1164 package, such as:

and_reduce

or_reduce

VHDL Constructs Supported in XST

This section discusses VHDL Constructs Supported in XST, and includes:

“VHDL Design Entities and Configurations”

“VHDL Expressions”

“VHDL Statements”

VHDL Design Entities and Configurations

XST supports the design entities and configurations shown in:

Table 6-7, “VHDL Entity Headers”

Table 6-8, “VHDL Architecture Bodies”

Table 6-9, “VHDL Configuration Declarations”

Table 6-10, “VHDL Subprograms”

Table 6-11, “VHDL Packages”

Table 6-12, “VHDL Enumeration Types”

Table 6-13, “VHDL Integer Types”

Table 6-14, “VHDL Physical Types”

Table 6-15, “VHDL Composites”

Table 6-16, “VHDL Modes”

Table 6-17, “VHDL Declarations”

Table 6-18, “VHDL Objects”

Table 6-19, “VHDL Specifications”

Table 6-20, “VHDL Names”

XST User Guide

www.xilinx.com

493

10.1

Chapter 6: XST VHDL Language Support

Table 6-7: VHDL Entity Headers

R

Entity Header

 

Supported/Unsupported

 

 

 

Generics

 

Supported

 

 

(integer type only)

 

 

 

Ports

 

Supported

 

 

(no unconstrained ports)

 

 

 

Entity Declarative Part

 

Supported

 

 

 

Entity Statement Part

 

Unsupported

 

 

 

Table 6-8: VHDL Architecture Bodies

 

 

 

Architecture Body

 

Supported/Unsupported

 

 

 

Architecture Declarative Part

 

Supported

 

 

 

Architecture Statement Part

 

Supported

 

 

 

Table 6-9: VHDL Configuration Declarations

 

 

 

Configuration Declaration

 

Supported/Unsupported

 

 

 

Block Configuration

 

Supported

 

 

 

Component Configuration

 

Supported

 

 

 

Table 6-10: VHDL Subprograms

 

 

 

Subprogram

 

Supported/Unsupported

 

 

 

Functions

 

Supported

 

 

 

Procedures

 

Supported

 

 

 

Table 6-11: VHDL Packages

 

 

 

Package

 

Supported/Unsupported

 

 

 

STANDARD

 

Type TIME is not supported

 

 

 

TEXTIO

 

Supported

 

 

 

STD_LOGIC_1164

 

Supported

 

 

 

STD_LOGIC_ARITH

 

Supported

 

 

 

STD_LOGIC_SIGNED

 

Supported

 

 

 

STD_LOGIC_UNSIGNED

 

Supported

 

 

 

STD_LOGIC_MISC

 

Supported

 

 

 

NUMERIC_BIT

 

Supported

 

 

 

NUMERIC_UNSIGNED

 

Supported

 

 

 

NUMERIC_STD

 

Supported

 

 

 

494

www.xilinx.com

XST User Guide

 

 

10.1

R

VHDL Constructs Supported in XST

Table 6-11: VHDL Packages (Cont’d)

Package

Supported/Unsupported

 

 

MATH_REAL

Supported

 

 

ASYL.ARITH

Supported

 

 

ASYL.SL_ARITH

Supported

 

 

ASYL.PKG_RTL

Supported

 

 

ASYL.ASYL1164

Supported

 

 

Table 6-12: VHDL Enumeration Types

 

 

 

Enumeration Type

Supported/Unsupported

 

 

BOOLEAN, BIT

Supported

 

 

STD_ULOGIC,

Supported

STD_LOGIC

 

 

 

XO1, UX01, XO1Z, UX01Z

Supported

 

 

Table 6-13: VHDL Integer Types

 

 

 

Integer Type

Supported/Unsupported

 

 

INTEGER

Supported

 

 

POSITIVE

Supported

 

 

NATURAL

Supported

 

 

Table 6-14: VHDL Physical Types

 

 

 

Physical Type

Supported/Unsupported

 

 

TIME

Ignored

 

 

REAL

Supported (only in functions for constant

 

calculations)

 

 

Table 6-15: VHDL Composites

 

 

 

Composite

Supported/Unsupported

 

 

BIT_VECTOR

Supported

 

 

STD_ULOGIC_VECTOR

Supported

 

 

STD_LOGIC_VECTOR

Supported

 

 

UNSIGNED

Supported

 

 

SIGNED

Supported

 

 

Record

Supported

 

 

Access

Supported

 

 

File

Supported

 

 

XST User Guide

www.xilinx.com

495

10.1

Chapter 6: XST VHDL Language Support

Table 6-16: VHDL Modes

R

Mode

Supported/Unsupported

 

 

In, Out, Inout

Supported

 

 

Buffer

Supported

 

 

Linkage

Unsupported

 

 

Table 6-17: VHDL Declarations

 

 

 

Declaration

Supported/Unsupported

 

 

Type

Supported for enumerated types, types

 

with positive range having constant

 

bounds, bit vector types, and multi-

 

dimensional arrays

 

 

Subtype

Supported

 

 

Table 6-18: VHDL Objects

 

 

 

Object

Supported/Unsupported

 

 

Constant Declaration

Supported (deferred constants are not

 

supported)

 

 

Signal Declaration

Supported (register and bus type signals

 

are not supported)

 

 

Variable Declaration

Supported

 

 

File Declaration

Supported

 

 

Alias Declaration

Supported

 

 

Attribute Declaration

Supported for some attributes, otherwise

 

skipped (see “XST Design Constraints”)

 

 

Component Declaration

Supported

 

 

Table 6-19: VHDL Specifications

 

 

 

Specification

Supported/Unsupported

 

 

Attribute

Supported for some predefined attributes

 

only: HIGH, LOW, LEFT, RIGHT, RANGE,

 

REVERSE_RANGE, LENGTH, POS,

 

ASCENDING, EVENT, LAST_VALUE.

 

Otherwise, ignored.

 

 

Configuration

Supported only with the all clause for

 

instances list. If no clause is added, XST

 

looks for the entity or architecture

 

compiled in the default library.

 

 

Disconnection

Unsupported

 

 

496

www.xilinx.com

XST User Guide

 

 

10.1

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]