Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
dsd1-10 / dsd-07=Verilog / esug.pdf
Скачиваний:
94
Добавлен:
05.06.2015
Размер:
1.38 Mб
Скачать

Ambit BuildGates Synthesis User Guide

2

Getting Started

Invoking Ambit BuildGates Synthesis

The Ambit BuildGates synthesis software is installed in the following directory:

install_dir/ambit/BuildGates/version/bin/

where install_dir is the directory in which Ambit BuildGates synthesis software is locally installed and version is the software release version.

Use the full path when invoking Ambit BuildGates synthesis software, either via an alias or by adding the above directory to your shell path variable. To invoke the command line version, type the following at the Unix prompt and press Return.

install_dir/ambit/BuildGates/version/bin/ac_shell

To invoke the GUI version, type the following at the Unix prompt and press Return.

install_dir/ambit/BuildGates/version/bin/ac_shell -gui

The main screen is displayed and the default (or user-specified default) options are loaded. The main screen is explained fully in Using the GUI on page 35.

The command line options for invoking the Ambit BuildGates synthesis software are listed in Table 2-1 and described in more detail in the Envisia and Ambit Synthesis Command

Reference, ac_shell command. Unless otherwise specified, the options are valid for both command line and GUI mode. Multiple options can be used in a single command.

Table 2-1 Ambit BuildGates Synthesis Command Line Options

Option

Description

 

 

-cdsdocd {on | off}

Enable or disable the browser-based documentation

 

delivery system for ac_shell help. When set to on,

 

the full command description is displayed. When set

 

to off, only the syntax is displayed in the command

 

line. The default is off.

 

 

September 2000

27

Product Version 4.0

Ambit BuildGates Synthesis User Guide

Getting Started

Table 2-1 Ambit BuildGates Synthesis Command Line Options, continued

Option

Description

 

 

-cmdfile filename.cmd

Record in a file, all executed commands in the

 

session. The default file name is ac_shell.cmd.

 

 

-colormap filename

Run Ambit BuildGates synthesis software using the

 

specified file. Valid only in GUI mode.

 

 

-continue

Do not exit after an error in Tcl script file.

 

 

-datapath

Run the Ambit BuildGates synthesis application with

 

the Datapath option. A separate license must be

 

purchased for the Datapath option.

 

 

-display machine_name:0

Set the configuration to display Ambit BuildGates

 

synthesis software to the specified machine. Valid

 

only in GUI mode.

 

 

-f filename.tcl

Run Ambit BuildGates synthesis software using the

 

specified Tcl file.

 

 

-fullscreen

Use the entire screen to display the application. Valid

 

only in GUI mode.

 

 

-geometry width X

Set the initial size and position of the GUI main screen

height xoff yoff

window. Where width and height are in pixels.

 

And xoff and yoff are the number of pixels from

 

the corner; a negative value is measured from the

 

bottom or right corners, and a positive value is

 

measured from the top or left corners. No spaces are

 

allowed between the values.

 

For example: -geometry 800x400+10-30 means

 

create a window 800 by 400 pixels with its left edge

 

offset 10 pixels from the left edge of the screen and its

 

bottom edge offset 30 pixels from the bottom of the

 

screen

 

Valid only in GUI mode.

 

 

-gui

Run Ambit BuildGates synthesis software using the

 

GUI interface.

 

 

-help

Print the ac_shell option help text. Does not run the

 

software.

 

 

September 2000

28

Product Version 4.0

Ambit BuildGates Synthesis User Guide

 

Getting Started

 

Table 2-1 Ambit BuildGates Synthesis Command Line Options, continued

 

 

Option

Description

 

 

-large

Increase the data limit to approximately 3.7 GB,

 

depending on the platform and configuration.

 

 

-limit

Print the current datasize limit and memory allocation

 

limit for the machine on which the software will run.

 

Does not run the software.

 

 

-logfile filename.log

Record in a file, all executed commands and

 

ac_shell console messages in the session. The

 

default file name is ac_shell.log.

 

 

-no_init

Disable sourcing of ~/.ambit/.acshrc and

 

$ambit_path/.acshrc.

 

 

-no_pks

Run Ambit BuildGates synthesis using a standard

 

license; if this fails, run with PKS license. Only valid if

 

a separate license has been purchased for PKS

 

 

-no_pks_1st

Run Ambit BuildGates synthesis software using a

 

standard license. Only valid if a separate license has

 

been purchased for PKS.

 

 

-pks

Run the Ambit BuildGates synthesis application with

 

the physically knowledgeable synthesis option. A

 

separate license must be purchased for PKS.

 

 

-power

Run the Ambit BuildGates synthesis application with

 

the low power option. A separate license must be

 

purchased for the low power option.

 

 

-queue

Wait for a license if none are available.

 

 

-set variable=value

Initialize a Tcl variable to the specified value.

 

 

-version

Print the software version. Does not run the software.

 

 

-which

Display on the command line or console the full path

 

name of the Ambit BuildGates synthesis executable.

 

 

September 2000

29

Product Version 4.0

Ambit BuildGates Synthesis User Guide

Getting Started

Exiting Ambit BuildGates Synthesis

To quit the command line version of Ambit BuildGates synthesis software, type exit at the ac_shell prompt and press Return.

ac_shell[n]>exit

To quit the GUI version of Ambit BuildGates synthesis software, do one of the following:

Select Main Menu – File – Exit; click Ok when the exit confirmation dialog is displayed.

Press the Control-x key sequenceExit; click Ok when the exit confirmation dialog is displayed.

In the ac_shell console, type exit and press Return when the exit confirmation dialog is displayed.

In the ac_shell console, type exit 0 and press Return to exit without invoking a confirmation dialog.

September 2000

30

Product Version 4.0

Соседние файлы в папке dsd-07=Verilog