Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
dsd1-10 / dsd-07=Verilog / synhdlmod.pdf
Скачиваний:
92
Добавлен:
05.06.2015
Размер:
797.93 Кб
Скачать

Envisia HDL Modeling Reference

Verilog Constructs

Fully Supported Constructs

Fully supported constructs can be used unconditionally in describing a model. These include the basic module declaration, instantiation, use of reg and wire data types, continuous assignments, always procedural block, and many of the procedural statements.

Declarations

The following module, task, function, and data type declarations are fully supported.

module, macromodule declarations

function, endfunction

task, endtask

input, output, inout port declaration, memory

wire, wand, wor, tri, triand, trior, supply0, supply1

integer, reg

parameter

local variables

Operators and Expressions

Table A-1 lists the fully supported operators (and expressions comprised of these operators with legal operands).

Table A-1 Operators and Expressions

Expressions

Operators

 

 

+, -

Arithmetic operators

 

 

==,!=,>,<, £, >=

Comparison operators

 

 

!, &&, ||, ~, &, |,

Logical operators

^, ^~, ~^, ~&, ~|, +,

 

- , <, >, <=, >=, ==,

 

!=, *

 

 

 

September 2000

120

Product Version 4.0

Envisia HDL Modeling Reference

Verilog Constructs

Table A-1 Operators and Expressions, continued

Expressions

Operators

 

 

and, nand, or, nor,

Built-in primitives

xor, xnor, buf, not,

 

bufif0, bufif1, tran

 

notif0, notif1

 

 

 

~, -

1’s complement/invert, 2’s

 

complement

 

 

&, |, ^, ^~, ~^

Binary Operators

 

 

&, |, ^, ~^, ^~, ~&,

Reduction operators

~|

 

 

 

>>, <<

shift operators

 

 

{}, {n{}}

concatenation and replication

 

operators

 

 

?:

conditional operator

 

 

Legal operands include:

reg, integer and wire data types

Parameters

On wire and reg, for bit-select and for part-select in cases of constant MSB and LSB specifiers

Sized and unsized constants in b, o, d, h bases (both unsigned and signed, as indicated by an upper or lower case “s,” for example: 4’sb1010)

Signed constant (s or S)

Use of x, z, ? in constants

Structural Statements

The following structural statements are fully supported.

assign—continuous assignments

module instantiation—named and ordered port connections

parameter override—on module instantiation

September 2000

121

Product Version 4.0

Соседние файлы в папке dsd-07=Verilog