Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
схемотехника / Сборник лаб работ 2006.pdf
Скачиваний:
136
Добавлен:
27.03.2016
Размер:
2.05 Mб
Скачать

ПРОЕКТИРОВАНИЕ СЧЕТЧИКОВ НА VHDL

В вариантах домашнего задания необходимо спроектировать на VHDL синхронный двоично-десятичный счетчик с входом предварительной (асинхронной или синхронной) установки счетчика в начальное состояние и входом разрешения СЕ для синхроимпульсов.

Рассмотрим наш вариант двоично-десятичного счетчика 2421 с асинхронной установкой в начальное состояние, т.е. установкой в «0» и входом разрешения СЕ.

Поскольку последовательные двоичные наборы десятичных цифр в данном двоично-десятичном коде изменяются не только на 1, то для описания переходов счетчика в очередное состояние целесообразно использовать оператор case.

Описание алгоритма функционирования счетчика с использованием оператора case приведено в листинге 4.1.

Листинг 4.1. Описание счетчика с использованием оператора case

entity counter_1 is port (

CLR,C,CE: in BIT;

Q: buffer BIT_VECTOR (3 downto 0); TC: buffer BIT;

CEO: out BIT

);

end counter_1;

architecture counter_1_arch of counter_1 is begin

process (CLR,C) begin

if CLR='1' then Q <= "0000"; elsif CE='0' then null; elsif C'event and C='1' then

case Q is

when "0000" => Q <= "0001"; when "0001" => Q <= "0010";

115

 

when "0010"

=> Q

<= "0011";

 

when "0011"

=> Q

<= "0100";

 

when "0100"

=> Q

<= "1011";

 

when "1011"

=> Q

<= "1100";

 

when "1100"

=> Q

<= "1101";

 

when "1101"

=> Q

<= "1110";

 

when "1110"

=> Q

<= "1111";

 

when "1111"

=> Q

<= "0000";

 

when others

=> Q <= "0000";

end case;

 

 

end

if;

 

 

end process;

 

Q(0) ;

TC <= Q(2) and Q(1) and

CEO <= CE and TC ;

 

 

end counter_1_arch;

ПОДГОТОВКА К ВЫПОЛНЕНИЮ РАБОТЫ

1.Изучить описание лабораторной работы.

2.Спроектировать двухразрядный двоично-десятичный счетчик для заданного варианта. Один десятичный разряд реализовать на DV-триггерах, другой — на JK-триггерах. Схема должна иметь вход предварительной (асинхронной или синхронной) установки счетчика в начальное состояние. Кроме этого, счетчик на JKтриггерах должен иметь вход разрешения СЕ для синхроимпульсов.

3.Создать описание счетчика на VHDL в соответствии с условиями варианта. Счетчик должен иметь вход предварительной установки начального состояния и вход разрешения СЕ для синхроимпульсов.

4.Образовать из созданных счетчиков трехразрядный двоич- но-десятичный счетчик, используя их условные графические обозначения.

5.Разработать и изобразить схему исследования спроектированных счетчиков с использованием макроэлементов стенда и осциллографа.

ПОРЯДОК ВЫПОЛНЕНИЯ РАБОТЫ

116

1.Выполнить ввод и отладку моделированием спроектированной схемы счетчика на DV-триггерах в редакторе схем системы

Xilinx Foundation.

2.Создать подсхему (макроэлемент) введенной схемы счетчика на DV-триггерах.

3.Выполнить в том же проекте ввод и отладку моделированием спроектированной схемы счетчика на JK-триггерах в редакторе схем системы Xilinx Foundation.

4.Создать подсхему (макроэлемент) введенной схемы счетчика на JK-триггерах.

5.Выполнить ввод и отладку описания счетчика на VHDL в том же проекте, где были реализованы схемные варианты счетчика.

6.Образовать из созданных макроэлементов счетчиков трехразрядный двоично-десятичный счетчик и выполнить его моделирование.

7.Выполнить подготовку и размещение трехразрядного дво- ично-десятичного счетчика на кристалле, подсоединив макроэлементы стенда.

8.Выполнить временное моделирование и измерение задержек переключения схем счетчиков.

9.Выполнить загрузку проекта в ПЛИС стенда и произвести отладку схем на макете.

10.Продемонстрировать преподавателю работу отлаженных схем на макете и на экране виртуального осциллографа.

11.Измерить динамические параметры счетчика.

12.Сдать преподавателю оформленный отчет в конце занятия.

ОТЧЕТ ПО РАБОТЕ

Отчет должен содержать:

1)исходные данные варианта задания;

2)матрицы переходов DV- и JK-триггеров;

117

3)таблицу переходов и функций возбуждения триггеров счетчика;

4)диаграммы Вейча функций возбуждения триггеров;

5)схемы двух разрядов двоично-десятичного счетчика с цепями переноса;

6)описание счетчика на VHDL;

7)схема соединения созданных счетчиков;

8)схема исследования спроектированных счетчиков с использованием макроэлементов стенда и осциллографа;

9)результаты экспериментальных исследований.

Список литературы

1.Вавилов Е.Н., Портной Г.Н. Синтез схем электронных цифровых машин. М.: Советское радио, 1963.

2.Голдсуорт Б. Проектирование цифровых логических устройств. /Пер. с англ.; Под ред. Ю.И. Топчеева. М.: Машинострое-

ние, 1985.

3.Хоуп Т. Проектирование цифровых вычислительных устройств на интегральных схемах. /Пер. с англ.; Под ред. В.К. Потоц-

кого. М.: Мир, 1984.

4.Угрюмов Е.П. Цифровая схемотехника. СПб.: БХВ, 2000.

5.Янсен Й. Курс цифровой электроники: В 4-х т. Т. 2. Проектирование устройств на цифровых ИС. /Пер. с голланд. М.: Мир, 1987.

6.Блейксли Т.Р. Проектирование цифровых устройств с малыми и большими интегральными схемами. /Пер. с англ. Киев: Вища школа, 1981.

7.Уэйкерли Дж. Ф. Проектирование цифровых устройств. В 2-х т. Т. 1-2. М.: Постмаркет, 2002.

8.Суворова Е.А., Шейнин Ю.Е. Проектирование цифровых систем на VHDL. СПб.: БХВ-Петербург, 2003.

118