Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
ЦС Комбинационные схемы.pdf
Скачиваний:
284
Добавлен:
30.03.2015
Размер:
2.7 Mб
Скачать

Цифровая схемотехника

Если дешифраторы D2 – D5 имели бы инверсные входы разрешения, то вместо дешифратора D1 пришлось бы использовать такую же схему, но с инверсными выходами.

Аналогично можно построить дешифратор 6-64 и т.д. Дешифратор 4-16 можно построить из двух дешифраторов 3-8, а для управления ими было бы достаточно инвертора (рис. 4.7).

x1

 

 

 

 

 

 

 

1

DC

0

 

 

 

 

 

 

 

 

 

x2

 

 

 

 

 

 

 

2

 

1

 

 

 

 

 

 

 

 

 

 

x4

 

 

 

 

 

 

 

4

 

 

 

 

 

 

 

 

 

 

 

x8

 

 

 

 

 

 

 

 

 

7

 

 

 

 

 

 

 

 

C

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

DC

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

2

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

4

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

 

 

 

 

7

 

 

C

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Рис. 4.7

4.2.2. Схемотехника построения шифраторов

Шифратор выполняет функцию, обратную дешифратору, т.е. преобразует n-разрядный унитарный код в k-разрядный двоичный, где n = 2k. Пример обозначения шифратора 8-3 приведен на рис. 4.8, а таблица истинности – в табл. 4.7.

x0

 

0

CD

 

 

 

 

 

 

 

 

 

 

 

x1

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

x2

 

2

 

1

 

 

 

y

 

 

 

 

 

 

 

x3

 

 

 

1

 

3

 

2

 

 

 

y

 

 

 

 

x4

 

 

 

2

 

4

 

4

 

 

 

y4

 

 

 

 

 

x5

 

5

 

 

 

 

 

 

 

 

 

 

 

 

 

x6

 

6

 

 

 

 

 

 

 

 

 

 

 

 

 

x7

 

7

 

 

 

 

 

 

 

 

 

 

 

 

 

Рис. 4.8

В реальных схемах на входы шифратора необязательно подается унитарный код. Если на входы приходит несколько единиц, то на выходе формируется код, соответствующий входу с большим номером. Такой шифратор называется приоритетным. Например, если единица приходит на входы номер 2, 3, 5, то на выходе формируется двоичный код числа 5 (101).

Чтобы можно было наращивать структуру шифратора, а также определять ситуацию, когда на входы не подано ни одной единицы, шифратору добавляют еще два выхода: G – сигнал наличия хотя бы одной единицы на входах (сигнал наличия запроса прерывания) и E –

74

Комбинационные схемы

сигнал отсутствия единиц на входах. Кроме того, шифратор обычно имеет вход разрешения. Обозначение приоритетного шифратора 8-3 приведено на рис. 4.9а, а таблица истинности – в табл. 4.8.

Табл. 4.7

x0

x1

x2

x3

x4

x5

x6

x7

y4

y2

y1

1

0

0

0

0

0

0

0

0

0

0

 

 

 

 

 

 

 

 

 

 

 

0

1

0

0

0

0

0

0

0

0

1

 

 

 

 

 

 

 

 

 

 

 

0

0

1

0

0

0

0

0

0

1

0

 

 

 

 

 

 

 

 

 

 

 

0

0

0

1

0

0

0

0

0

1

1

 

 

 

 

 

 

 

 

 

 

 

0

0

0

0

1

0

0

0

1

0

0

 

 

 

 

 

 

 

 

 

 

 

0

0

0

0

0

1

0

0

1

0

1

 

 

 

 

 

 

 

 

 

 

 

0

0

0

0

0

0

1

0

1

1

0

 

 

 

 

 

 

 

 

 

 

 

0

0

0

0

0

0

0

1

1

1

1

 

 

 

 

 

 

 

 

 

 

 

Реально используемые шифраторы, например 555ИВ1, имеют инверсные входы и выходы, т.е. сигналом запроса для них будет не единица, а ноль. На выходах формируется инверсный двоичный код. Обозначение шифратора 555ИВ1 приведено на рис. 4.9б.

x0

 

 

0

CD

 

 

 

 

 

 

 

 

0

CD

 

 

 

 

 

 

 

 

 

 

 

 

x1

 

 

1

 

 

 

 

 

 

 

 

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x2

 

 

2

 

1

 

 

 

y

 

 

 

2

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x3

 

 

 

 

1

 

 

 

 

 

 

 

 

3

 

2

 

 

 

y

 

 

 

3

 

2

 

 

 

 

 

 

 

 

 

 

x4

 

 

 

 

2

 

 

 

 

 

 

 

 

4

 

4

 

 

 

y4

 

 

 

4

 

4

 

 

 

 

 

 

 

 

 

 

x5

 

 

5

 

 

 

 

 

 

 

 

 

5

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x6

 

 

6

 

 

 

 

 

 

 

 

 

6

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x7

 

 

7

 

E

 

 

 

 

 

 

 

7

 

E

 

 

 

 

 

 

 

 

 

 

 

 

 

 

С

 

G

 

 

 

 

 

 

 

С

 

G

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

а)

 

 

 

 

 

 

 

 

б)

 

 

 

 

 

 

 

 

 

 

 

Рис. 4.9

 

 

 

Чтобы построить шифратор большего размера, например 16-4 или 32-5, необходимо взять соответствующее количество дешифраторов меньшего размера (8-3) и соединить их последовательно, как показано на рис. 4.10. Выход E шифратора D1 управляет входом разрешения шифратора D2, поэтому шифраторы работают по очереди. Входы шифратора D1 имеют приоритет над входами D2, выходы обоих шифраторов объединены по ИЛИ. Если единица приходит на вход 5 шифратора D1 и на вход 6 шифратора D2, то на выходе E схемы D1 появится сигнал 0, который запретит работу схемы D2. Поэтому на выходах всего устройства будет код 1101 (десятичный эквивалент – 13). Сигнал запроса прерывания от шифратора D1 будет старшим разрядом выходного кода.

75

Цифровая схемотехника

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Табл. 4.8

C

x0

x1

 

x2

 

x3

 

x4

 

x5

x6

 

x7

 

y4

y2

 

y1

 

E

G

 

1

 

1

0

 

 

 

0

0

 

0

 

0

0

 

0

 

0

 

0

0

 

0

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

*

1

 

 

0

0

 

0

0

0

 

 

 

 

0

 

0

1

0

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

*

*

 

 

1

0

 

0

0

0

 

 

 

 

0

 

1

0

0

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

*

*

 

 

*

1

 

0

0

0

 

 

 

 

0

 

1

1

0

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

*

*

 

 

*

*

 

1

0

0

 

 

 

 

1

 

0

0

0

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

*

*

 

 

*

*

 

*

1

0

 

 

 

 

1

 

0

1

0

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

*

*

 

 

*

*

 

*

*

1

 

 

 

 

1

 

1

0

0

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

*

*

 

 

*

*

 

*

*

*

 

 

 

 

1

 

1

1

0

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

0

0

 

 

 

0

0

 

0

 

0

0

 

0

 

0

 

0

0

 

1

 

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

0

 

*

*

 

 

*

*

 

*

*

*

 

 

 

 

0

 

0

0

0

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x0

 

 

 

0

CD

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

 

 

y1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x1

 

 

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x2

 

 

 

2

 

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x3

 

 

 

3

 

 

2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

y

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x4

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

2

 

 

 

 

 

 

 

 

 

4

 

 

4

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x5

 

 

 

5

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

 

 

y4

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x6

 

 

6

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x7

 

 

 

7

 

 

E

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

y8

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x8

 

 

 

С

 

 

G

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

0

CD

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x9

 

 

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x10

 

 

 

2

 

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

G

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x11

 

 

 

3

 

 

2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x12

 

 

 

4

 

 

4

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x13

 

 

 

5

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x14

 

 

 

6

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x15

 

 

 

7

 

 

E

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

С

 

 

G

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Рис. 4.10

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Для построения шифратора 32-5 необходимо взять 4 шифратора 8-3, а выходы объединять на 4-входовых элементах ИЛИ. Если для наращивания размерности использовать шифраторы с инверсными входами и выходами (типа 555ИВ1), то объединять выходы нужно на элементах И-НЕ.

76

Комбинационные схемы

4.2.3. Применение дешифраторов и шифраторов

Дешифраторы используются в устройствах управления для выборки и разрешения работы схем и блоков (например, дешифратор D1 в схеме, изображенной на рис. 4.6), для селекции адреса в запоминающих и др. устройствах и т.д.

Кроме того, дешифраторы можно использовать для синтеза произвольных функций алгебры логики. Дешифраторы на выходах выдают сигналы, соответствующие минтермам наборов, следовательно, объединяя по ИЛИ нужные выходы, можно получить нужную функцию. Рассмотрим порядок синтеза на примере функции

г(й,ц,у)ър(1,4,5,7)

Запишем СДНФ, т.е. выпишем минтермы наборов 1, 4, 5, 7 и объединим их знаками дизъюнкции:

г(й,ц,у)ъфыумйывмйыумйцу

Дешифратор 3-8 выдает минтермы всех наборов, следовательно, чтобы получить данную функцию, нужно объединить на элементе ИЛИ выходы 1, 4, 5, 7 дешифратора (рис. 4.11). При этом старший аргумент подаем на старший вход схемы.

x3

 

 

1

DC

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

 

 

 

 

 

 

 

 

x2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

2

 

2

 

 

 

 

 

 

 

 

 

x1

 

 

4

 

 

 

 

 

 

 

 

1

f

 

 

 

3

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

4

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

5

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

 

 

6

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

C

 

7

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Рис. 4.11

На одном дешифраторе можно собрать несколько функций (одних и тех же аргументов, естественно). Для каждой нужно только добавить элемент ИЛИ. Если у функции наборов с единичным значением больше, чем с нулевым, то выгоднее собрать инверсную функцию, а выходной сигнал проинвертировать.

Если дешифратор имеет инверсные выходы, то на его выходах сигналы соответствуют отрицаниям минтермов:

Q0ъФЫВ Q1ъФЫУ и т.д.

Чтобы получить аналитическую запись для реализации на таком дешифраторе, преобразуем СДНФ по закону де Моргана (1.23, 1.24):

г(й,ц,у)ъфыумйывмйыумйцуъ

ъФЫУсЙЫВсЙЫУсЙЦУ ,

т.е. объединять отрицания минтермов надо на элементе И-НЕ.

77

Цифровая схемотехника

Чтобы синтезировать функцию большего числа переменных, надо предварительно построить схему соответствующего дешифратора, как это было показано выше.

Шифраторы используются в системах обработки прерываний, в системах ввода-вывода, например, в клавиатуре, где сигнал нажатой клавиши преобразуется в соответствующий ей двоичный код и т.д.

Совместное использование шифратора и дешифратора позволяет строить различные преобразователи кодов, например двоичного кода

вкод Грея и обратно, преобразователи в код Джонсона, схемы указателя старшей единицы и т.д.

Соответствие двоичного 3-разрядного кода и кода Грея приведено

втаблице 4.9. Пронумеруем выходные коды (f1, f2, f3) десятичными числами (столбец M) и на схеме (рис. 4.12) выходы дешифратора c номерами N соединим со входами шифратора с номерами M. На выходе шифратора будет сформирован код Грея.

Табл. 4.9

 

 

 

N

 

й

 

 

ц

у

ш

щ

 

з

M

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

0

 

0

 

0

0

0

0

0

 

 

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

0

 

0

1

0

0

1

 

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

2

 

0

 

1

0

0

1

1

 

 

3

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

3

 

0

 

1

1

0

1

0

 

 

2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

4

 

1

 

0

0

1

1

0

 

 

6

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

5

 

1

 

0

1

1

1

1

 

 

7

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

6

 

1

 

1

0

1

0

1

 

 

5

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

7

 

1

 

1

1

1

0

0

 

 

4

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x3

 

 

1

DC

 

0

 

 

 

 

 

0

 

CD

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

 

 

 

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

2

 

 

 

2

 

 

 

 

 

2

 

 

1

 

 

 

 

f3

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

x1

 

 

4

 

 

 

3

 

 

 

 

 

3

 

 

2

 

 

 

 

f2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

4

 

 

 

 

 

4

 

 

4

 

 

 

 

f1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

5

 

 

 

 

 

5

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

6

 

 

 

 

 

6

 

 

 

 

 

 

 

 

 

 

 

 

C

 

 

 

7

 

 

 

 

 

7

 

 

 

E

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

С

 

 

 

G

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Рис. 4.12

Аналогично можно построить преобразователи в код Джонсона и обратно, только нужно учесть, что код Джонсона – избыточный код (пример приведен в табл. 4.10), поэтому для преобразования придется брать схемы дешифратора и шифратора разной размерности.

78