Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
VAMS-LRM-2-3-1.pdf
Скачиваний:
43
Добавлен:
05.06.2015
Размер:
3.73 Mб
Скачать

 

Accellera

Analog and Mixed-signal Extensions to Verilog HDL

Version 2.3.1, June 1, 2009

Annex F

(normative)

Discipline resolution methods

F.1 Discipline resolution

Discipline resolution is described in 7.4; it provides the semantics for two methods of resolving the discipline of undeclared interconnect. This annex provides a possible algorithm for achieving the semantics of each method. It is also possible to develop and use other algorithms to match the semantics.

F.2 Resolution of mixed signals

The following algorithms for discipline resolution of undeclared nets provide users with the ability to control the auto-insertion of connection modules. The undeclared nets are resolved at each level of the hierarchy in which continuous (analog) has precedence over discrete (digital). In both algorithms, the continuous domain is passed up the hierarchy from lower levels to the top level.

F.2.1 Default discipline resolution algorithm

This default algorithm propagates both continuous and discrete disciplines up the hierarchy to meet one another. Insertion of interface elements shall occur at each level of the hierarchy where both continuous and discrete disciplines meet. This results in connection modules being inserted higher up the design hierarchy. The algorithm is described as follows.

1)Elaborate the design

After this step, every port in the design has both its upper (actual) connection and its lower (formal) connection defined.

2)Apply all in-context node and signal declarations

For example, electrical sig; makes all instances of sig electrical, unless they have been overridden by an out-of-context declaration.

3)Apply all out-of-context node and signal declarations.

For example, electrical top.middle.bottom.sig; overrides any discipline which may be declared for sig in the module where sig was declared.

More than one conflicting in-context discipline declaration or more than one conflicting out-of-con- text discipline declaration for the same hierarchical segment of a signal is an error. In this case, conflicting simply means an attempt to declare more than one discipline regardless of whether the disciplines are compatible or not.

4)Traverse each signal hierarchically (depth-first) when a net is encountered which still has not been assigned a discipline:

a)It shall be determined whether the net is analog or digital. Any net whose port connections (i.e., connections to the upper part of a port) are all digital shall be considered digital (discrete domain), any others shall be considered analog (continuous domain).

b)Apply any `default_discipline directives to any net segments which do not yet have a discipline, provided their domain is the same as the domain of the default discipline. This is done according to the rules of precedence for `default_discipline (see 3.8).

377

Copyright © 2009 Accellera Organization, Inc. All rights reserved.

Accellera

 

Version 2.3.1, June 1, 2009

VERILOG-AMS

c)If the segment has not yet been assigned a discipline, examine all ports to which the segment forms the upper connection and construct a list of all disciplines at the lower connections of these ports whose domains match the domain of the segment:

If there is only a single discipline in the list, the signal is of that discipline

If there is more than one discipline in the list and the contents of the list match the discipline list of a resolution connect statement, the net is of the resolved discipline given by the statement.

Otherwise the discipline is unknown. This is legal provided the net segment has no mixed-port connections (i.e., it does not connect through a port to a segment of a different domain). Otherwise this is an error.

At this point, connection module selection and insertion can be performed. Insert converters applying the rules and semantics of the connect statement (7.7) and auto-insertion sections (7.8).

F.2.2 Alternate expanded analog discipline resolution algorithm

This algorithm propagates continuous disciplines up and then back down to meet discrete disciplines. This may result in more connection modules being inserted lower down into discrete sections of the design hierarchy for added accuracy. The selection of this algorithm instead of the default shall be controlled by a simulator option. The algorithm is described as follows.

1)Elaborate the design

After this step, every port in the design has both its upper (actual) connection and its lower (formal) connection defined.

2)Apply all in-context node and signal declarations

For example, electrical sig; makes all instances of sig electrical, unless they have been overridden by an out-of-context declaration.

3)Apply all out-of-context node and signal declarations.

For example, electrical top.middle.bottom.sig; overrides any discipline which may be declared for sig in the module where sig was declared.

More than one conflicting in-context discipline declaration or more than one conflicting out-of-con- text discipline declaration for the same hierarchical segment of a signal is an error. In this case, conflicting simply means an attempt to declare more than one discipline regardless of whether the disciplines are compatible or not.

4)Traverse each signal hierarchically (depth-first) when a net is encountered which has still not been assigned a discipline:

a)It shall be determined whether the net is analog or digital. Any net whose port connections (i.e., connections to the upper part of a port) are all digital shall be considered digital. If any of the connections are analog, the net shall be considered analog. Any others shall still be considered unknown.

b)Apply any `default_discipline directives to any net segments which do not yet have a discipline, provided their domain is the same as the domain of the default discipline. This is done according to the rules of precedence for `default_discipline (see 3.8).

c)If the segment has not yet been assigned a discipline, examine all ports to which the segment forms the upper or lower connection. and construct a list of all disciplines at the other connections of these ports whose domains match the domain of the segment:

If there is only a single discipline in the list, the signal is of that discipline

If there is more than one discipline in the list and the contents of the list match the discipline list of a resolution connect statement, the net is of the resolved discipline given by the statement.

Otherwise the discipline is unknown.

Copyright © 2009 Accellera Organization, Inc.

378

 

Accellera

Analog and Mixed-signal Extensions to Verilog HDL

Version 2.3.1, June 1, 2009

5)Traverse each net hierarchically (top-down) when a net is encountered which still has not been assigned a discipline:

a)It shall be determined whether the net is analog or digital. Any net whose port (i.e., connection to the lower part of a port) is digital shall be considered digital. Any others shall be considered analog.

b)Apply any `default_discipline directives which to any net segments which do not yet have a discipline, provided their domain is the same as the domain of the default discipline. This is done according to the rules of precedence for `default_discipline (see 3.8).

c)If the segment has not yet been assigned a discipline, examine all ports to which the segment forms the lower connection and construct a list of all disciplines at the upper connections of these ports whose domains match the domain of the segment:

If there is only a single discipline in the list, the signal is of that discipline

If there is more than one discipline in the list and the contents of the list match the discipline list of a resolution connect statement, the net is of the resolved discipline given by the statement.

Otherwise the discipline is unknown. This is legal provided the net segment has no mixed-port connections (i.e., it does not connect through a port to a segment of a different domain). Otherwise this is an error.

At this point, connection module selection and insertion can be performed. Insert converters applying the rules and semantics of the connect statement (7.7) and auto-insertion sections (7.8).

379

Copyright © 2009 Accellera Organization, Inc. All rights reserved.

Accellera

 

Version 2.3.1, June 1, 2009

VERILOG-AMS

Annex G

(informative)

Change history

This annex lists the changes made to the document for each revision.

G.1 Changes from previous LRM versions

This subclause highlights some of the key differences between versions of the Verilog-AMS HDL reference manual. The syntax and semantics of this document supersede any syntax, semantics, or interpretations of previous revisions.

Table G.1—Changes from v1.0 to v2.0 syntax

Feature

OVI Verilog-A v1.0

OVI Verilog-AMS v2.0

Change type

 

 

 

 

Analog time

$realtime

$abstime

new

 

 

 

 

Ceiling operator

N/A

ceil(expr)

new

Floor operator

N/A

floor(expr)

new

Circular integrator

N/A

idtmod(expr)

new

Expression looping

N/A

genvar

new

Distribution functions

$dist_functions()

$rdist_functions()

new

 

Integer based functions

Real value equivalents to

 

 

 

$dist_functions()

 

 

 

 

 

Empty discipline

predefined as type wire

type not defined

default definition

 

 

 

 

Implicit nodes

‘default_nodetype

default type: empty disci-

default definition

 

discipline_identifier

pline, no domain type

 

 

default: wire

 

 

initial_step

default = TRAN

default = ALL

default definition

final_step

default = TRAN

default = ALL

default definition

Analog ground

no definition

now a declaration state-

definition

 

 

ment

 

$realtime

$realtime :timescale =1

$realtime :timescale=

definition

 

sec

’timescale def=1n,

 

 

 

see $abstime

 

 

 

 

 

Array setting

aa[0:1] = {2.1 = (1), 4.5 =

aa[0:1] = {2.1,4.5}

syntax

 

(2)

 

 

 

 

 

 

Discontinuity function

discontinuity(x)

$discontinuity(x)

syntax

Limiting exponential func-

$limexp(expression)

limexp(expression)

syntax

tion

 

 

 

Port branch access

I(a,a)

I(<a>)

syntax

 

 

 

 

Copyright © 2009 Accellera Organization, Inc.

380

 

Accellera

Analog and Mixed-signal Extensions to Verilog HDL

Version 2.3.1, June 1, 2009

Table G.1—Changes from v1.0 to v2.0 syntax (continued)

Feature

OVI Verilog-A v1.0

OVI Verilog-AMS v2.0

Change type

 

 

 

 

Timestep control (maxi-

bound_step(const_ex

$bound_step(expr)

syntax

mum stepsize)

pression)

 

 

Continuous waveform

delay()

absdelay()

syntax

delay

 

 

 

User-defined analog func-

function

analog function

syntax

tions

 

 

 

Discipline domain

N/A, assumed continuous

now continuous(default)

Extension

 

 

and discrete

 

 

 

 

 

k scalar (103)

N/A, only “K” supported

now supported

Extension

Module keyword

module

module or macro-

Extension

 

 

module

 

Modulus operator

integers only

now supports integer

Extension

 

 

and reals

 

Time tolerance on timer

N/A

supports additional time

Extension

functions

 

tolerance argument for

 

 

 

timer()

 

Time tolerance on transi-

N/A

supports additional time

Extension

tion filter

 

tolerance argument for

 

 

 

transition()

 

‘default_nodetype

default_nodetyp

default_discipl

Obsolete

 

e

ine

 

Forever statement

forever

N/A

Obsolete

Generate statement

generate

N/A

Obsolete

Null statement

;

Limited to case, condi-

Obsolete

 

 

tional, and event state-

 

 

 

ments (see syntax)

 

 

 

 

 

Table G.2—Changes from v2.0 to v2.1

Item

Description/Issue

Clause

 

 

 

1

Clarification on when range checking for parameters is done. Range check will

3.4.2

 

be done only on the final value of the parameter for that instance.

 

 

 

 

2

Not to use “max” and use “maxval” instead since max is a keyword

3.6.1.1, 3.6.2.6

3

Support of user-defined attributes to disciplines similar to natures has been

3.6.2, 3.6.1.3

 

added. This would be a useful way to pass information to other tools reading

 

 

the Verilog-AMS netlist

 

 

 

 

4

LRM specifies TRI and WIRE as aliases. The existing AMS LRM forces nets

3.6.2.4, 3.7

 

with wiretypes other than wire or tri to become digital, but in many cases these

 

 

are really interconnect also. If they are tied to behavioral code they will

 

 

become digital but if they are interconnected, we should not force them until

 

 

after discipline resolution. This is needed if you have configs where the blocks

 

 

connected to the net can change between analog and digital. If we force these

 

 

nets to be digital we force unneeded CMs when blocks are switched to analog.

 

 

 

 

381

Copyright © 2009 Accellera Organization, Inc. All rights reserved.

Accellera

 

Version 2.3.1, June 1, 2009

VERILOG-AMS

Table G.2—Changes from v2.0 to v2.1 (continued)

Item

Description/Issue

Clause

 

 

 

5

Setting an initial value on net as part of the net declaration.

3.6.3, 3-6, 3.6.3.2

 

 

 

6

Initial value of wreal to be set to 0.0 if the value has not been determined at

3.7

 

t = 0.

 

7

Clarification on the usage of `default_discipline and default disci-

3.8, 3.9, 3.10, 10.2, 10.3

 

pline for analog and digital primitives. Analog primitives will have default dis-

 

 

cipline as electrical, whereas digital primitives shall use the

 

 

`default_discipline declaration.

 

 

`default_discipline explanation moved to the section along with

 

 

other compiler directives and clarification of impact on ‘reset_all on

 

 

this. The usage of word ‘scope’ is clarified to be used as the scope of the appli-

 

 

cation of the compiler directive, and not as a scope argument.

 

 

 

 

8

Reference to derived disciplines to be removed as current BNF does not sup-

3.11

 

port the syntax

 

 

 

 

9

Reworked discipline and nature compatibility rules for better clarity.

3.11

 

 

 

10

Removed the reference to neutral discipline since wire can be used in the same

3.11

 

context.

 

 

 

 

11

absdelay instead of delay

4.5.14

12

Array declaration wrongly specified before the variable identifier. For vari-

3.2

 

ables, array specification is written after the name of the variable.

 

 

 

 

13

@(final_step) without arguments should not have parenthesis

5.10.2, Table 5-1

15

@(final_step) for DCOP should be 1

5.10.2, Table 5-1

16

Examples to be fixed to use assign for wreal and use wreal in instantia-

6.5.3, 3.7

 

tion, and also add a top level block for example in 7.3.3, and the testbench use

 

 

wreal.

 

17

Clarification on the port bound semantics in explaining the hierarchical struc-

7.2.3

 

ture for a port with respect to vpiLoConn and vpiHiConn and clarification on

 

 

driver and receiver segregation

 

 

 

 

18

Figure should have NetC.c_out instead of NetC.b_out

7.2.3

 

 

 

19

Mixed-signal module examples to use case syntax with X & Z instead of “==”

7.2.3

 

for value comparison

 

 

 

 

20

Clarification on accessing discrete nets and variables and X & Z bits in the

7.2.3

 

analog context.

 

 

 

 

21

Adding Support for ‘NaN & X’ into Verilog-AMS. Contribution of these val-

7.2.3, 7.3.2.1

 

ues to a branch would be an error; however, analog variables should be able to

 

 

propagate this value. Added a section regarding NaN

 

 

 

 

22

The diagram corresponding to the bidir model has been reworked, and the

7.6

 

example module shown for bidir will match the corresponding figure.

 

 

 

 

23

Rework on connect-resolveto syntax section to clarify the rules

7.7.2.1

 

 

 

24

Use merged instead of merge

7.8.1

25

Support for digital primitive instantiation in an analog block. Port names are

7.8.5.1

 

created for the ports of the digital primitives, and these digital port names can-

 

 

not be used in child instantiations.

 

26

Net resolution function has been removed and replaced with ‘Receiver Net

7.10.5 (subclause

 

Resolution’. Reintroduced the assign statement syntax.

deleted in v2.3)

Copyright © 2009 Accellera Organization, Inc.

382

 

Accellera

Analog and Mixed-signal Extensions to Verilog HDL

Version 2.3.1, June 1, 2009

Table G.2—Changes from v2.0 to v2.1 (continued)

Item

Description/Issue

Clause

 

 

 

27

Corrections to the connect module example using the driver access function.

7.10.6 (subclause

 

The errors in the example have been corrected to make it syntactically and

deleted in v2.3)

 

semantically correct

 

 

 

 

28

The constraints for supplementary drivers and delays ar clearly stated.

7.11 (subclause deleted

 

 

in v2.3)

 

 

 

29

Driver Type function: There should be a driver access function for finding type

7.11.4 (subclause

 

of driver.

deleted in v2.3),

 

driver_type_function ::= $driver_type(signal_name, signal_index)

Annex D

 

 

 

30

Clarification on the MS synchronization algorithm: Includes a more detailed

Clause 8

 

explanation on the analog-digital synchronization mechanism.

 

 

 

 

31

Truncation versus Rounding mechanism for converting from analog to digital

8.3.3.3

 

times.

 

 

 

 

32

Spelling mistake on “boltzmann” and “planck” in constants file

Annex D

 

 

 

33

Units for charge, angle and other definitions in disciplines.vams have been

Annex D

 

changed to adhere to SI standards.

 

 

 

 

34

Values specified in constants file for charge, light, Boltzmann constant, and so

Annex D

 

forth have been changed to adhere to the standard definitions.

 

 

 

 

Table G.3—Changes from v2.1 to v2.2

Item

Description/Issue

Clause

 

 

 

1

Attributes were added following syntax in 1364-2001.

2.9

 

 

 

2

Output variables were defined.

3.2.1

 

 

 

3

Parameters were extended to include units and descriptions, localparam,

3.4, 3.4.3, 3.4.5, 3.4.6,

 

aliasparam, and string parameters.

3.4.7, Syntax 6-1

4

Net descriptions allowed by attributes.

3.6.3.1

 

 

 

5

Additional bitwise operators were added.

Table 4-2, 4.2.9

 

 

 

6

Modifications to the domains of functions.

Table 4-9, Table 4-10

7

Changes to the descriptions of access function examples.

Table 4-11

 

 

 

8

Added symbolic derivative operators ddx()

4.5.6

9

Added references to limiting algorithms, cross-reference to $limit()

4.5.13

10

Added entries for above(), ddx(), and $limit()

4.5.14

11

Clarified dc sweep behavior for analysis(), initial_step, and

4.2.1, 4.5.2, Table 5-1

 

final_step;added section describing dc analysis.

 

12

Allow multiple return values for analog functions.

4.7

 

 

 

14

Add above event

5.10.3, 5.10.3.2

 

 

 

15

Module descriptions allowed by attributes.

6.2, Syntax 6-1

 

 

 

16

Allow attributes for module item declarations

Syntax 6-1

 

 

 

383

Copyright © 2009 Accellera Organization, Inc. All rights reserved.

Accellera

 

Version 2.3.1, June 1, 2009

VERILOG-AMS

Table G.3—Changes from v2.1 to v2.2 (continued)

Item

Description/Issue

Clause

17

Add $param_given() and $port_connected()

6.3.5, 6.5.6, 9.19

18

Added hierarchical system parameters $mfactor, $xposition,

6.3.6, Syntax 6-2,

 

$yposition, $angle, $hflip, $vflip

Syntax 6-3, 9.18,

 

 

Annex E.5.1

19

Add paramsets

6.4

 

 

 

20

Add $simparam()

9.15

21

Add support for Monte-Carlo analysis to $random and $rdist_ func-

9.13

 

tions; clarify descriptions of arguments.

 

22

Add $debug()

9.4

23

Add format specifiers %r and $R

Table 9-22

 

 

 

24

Add support for limiting (damped Newton-Raphson) with $limit() and

9.17, Annex E.4.4

 

$discontinuity(-1)

 

25

Add interpolation function $table_model()

9.20

26

Add __VAMS_COMPACT_MODELING__

10.5

27

New keywords: above, aliasparam, ddx, endparamset,

Annex B

 

localparam, paramset, string

 

28

Corrected the value of ‘M_TWO_PI, defined Planck’s constant as‘P_H (not

D.3

 

‘P_K, which is Boltzmann’s constant), removed parenthetical value after

 

 

‘P_U0

 

Table G.4—Changes from v2.2 to v2.3

Item

Description/Issue

Clause

 

 

 

1

Add string data type and applicable operations

3.3

 

 

 

2

Add apostrophe before opening { in list of values (to distinguish a list of values

3.4.2

 

from the concatenation operator)

 

 

 

 

3

Add Verilog function style versions of standard mathematical functions

Table 4-14

 

$ln(), $log10(), $exp(), $sqrt(), $pow(), $floor() and

 

 

$ceil()

 

4

Add Verilog function style versions of trigonometric and hyperbolic functions

Table 4-15

 

$sin(), $cos(), $tan(), $asin(), $acos(), $atan(),

 

 

$atan2(), $hypot(), $sinh(), $cosh(), $tanh(),

 

 

$asinh(), $acosh() and $atanh()

 

5

Specify atan2(0, 0) as equal to 0

Table 4-15

 

 

 

6

Disallow V(n1, n1) as legal access function usage

Table 4-16

 

 

 

7

Add conversion from real to integer

4.2.1.1

 

 

 

8

More strict definition of time-integral operator

4.5.4

 

 

 

9

The noise_table() function accepts a file name as argument to read table

4.6.4.3

 

data from file.

 

Copyright © 2009 Accellera Organization, Inc.

384

 

Accellera

Analog and Mixed-signal Extensions to Verilog HDL

Version 2.3.1, June 1, 2009

Table G.4—Changes from v2.2 to v2.3 (continued)

Item

Description/Issue

Clause

 

 

 

10

Define use of locally defined parameters and module-level parameters inside

4.7

 

user-defined analog functions

 

 

 

 

11

Define semantics of inout arguments for user-defined analog functions

4.7.2.3

 

 

 

12

Allow a user-defined analog function to be called from within another user-

4.7.3

 

defined analog function

 

 

 

 

13

Support for the analog initial block

5.2.1

 

 

 

14

Detailed restrictions on conditional statements

5.8

 

 

 

15

Detailed restrictions on looping statements

5.9

 

 

 

16

The cross, above and timer monitored event functions have been

5.10.3, Syntax 5-13

 

extended with an enable argument

 

17

Support for null arguments in the cross, above and timer monitored event

5.10.3, Syntax 5-13

 

functions

 

18

Support for multiple analog blocks within a single module

6.2

 

 

 

19

Added extra rule on connected ports for paramset selection

6.3.3

 

 

 

20

Support for loop generate constructs and conditional generate constructs

6.6.2

 

 

 

21

Restricted use of out-of-module references (OOMRs)

6.7.1

 

 

 

22

Extended scope definitions to generate blocks

6.8

 

 

 

23

Added elaboration rules for analog and mixed-signal hierarchies

6.9

 

 

 

24

Support for discipline incompatibility declaration

7.7.2

 

 

 

25

Description of mixed-signal DC analysis process

8.3.2

 

 

 

26

Extended definition of $fopen()

9.5.1

27

Support for $fdebug() system task

9.2, 9.5.2

28

Support for the $swrite() and $sformat() system tasks

9.5.3

29

Support for $fatal, $error, $warning, and $info system tasks

9.7.3

30

Renamed the former $random system task to $arandom

9.13.1

31

Added the $simprobe() system task

9.16

32

Extended $table_model() system function to support isoline data,

9.20

 

tables with multiple dependent values, and higher-order data interpolation.

 

33

Support for `begin_keywords and `end_keywords compiler direc-

10.6

 

tives; added "VAMS-2.3" version specifier for keywords compiler directive

 

34

Support for port declarations in module header

A.1.2, Syntax 6-1

 

 

 

35

Optional semicolon following the nature identifier in a nature declaration

A.1.6, Syntax 3-4

 

 

 

36

Optional semicolon following the discipline identifier in a discipline declara-

A.1.7, Syntax 3-5

 

tion

 

 

 

 

37

Annex C of LRM v2.2 has been split and the section describing the changes

 

 

from previous LRM versions has been documented in this Annex

 

 

 

 

385

Copyright © 2009 Accellera Organization, Inc. All rights reserved.

Accellera

 

Version 2.3.1, June 1, 2009

VERILOG-AMS

Table G.4—Changes from v2.2 to v2.3 (continued)

Item

Description/Issue

Clause

 

 

 

38

Introduced guard clauses to the driver_access.vams standard definitions

D.3

 

 

 

39

Corrected syntax of port_discipline attribute

E.4.2.1

40

Added name scoping of analog primitives

E.4.3

 

 

 

41

Annex G of version 2.2, Open Issues, removed; this information is now in the

 

 

Verilog Mantis data base

 

42The keywords in Annex B.2 and Annex B.3 have been merged into the single table in Annex B.1

Table G.5—Changes from v2.3 to v2.3.1

Mantis

Description/Issue

Clause

Item

 

 

 

 

 

2266

The signal flow discipline for current now uses the flow nature and not poten-

D.1

 

tial

 

 

 

 

2391

Clarified semantics for when a branch is treated as a flow source of value zero

5.4.4, 5.6.1.3

 

(0)

 

 

 

 

2453

Corrected summation formula for the analog filter function laplace_nd()

4.5.11.4

2458

Added $simparam$str to syntax box

Syntax 9-10

2498

Added in keywords: wire, wor, wreal, xnor, xor, zi_nd, zi_np,

Annex B

 

zi_zd, and zi_zp which were accidently deleted in LRM v2.3

 

2535

Corrected definition for multiline strings

A.8.8

 

 

 

2536

Corrected examples that were using invalid real numbers

3.6.2.1

 

 

 

2538

Removed redundant string_parameter_declaration and

A.1.9

 

local_string_parameter_declaration syntax items

 

 

 

 

2391

Clarified definition of a switch branch

5.6.1, 5.8.1

 

 

 

2581

Clarified restrictions on unnamed branches

3.12

 

 

 

2589

Removed multiple definitions of net_assignment

A.2.1.3, A.2.3, A.2.4,

 

 

A.8.4

 

 

 

2497

Added in definition of nature_access_identifier syntax item

A.9.3

 

 

 

2497

Added in definition of text_macro syntax item

Syntax 10-3

 

 

 

2497

Syntax item analog_variable_lvalue was missing in certain places

Syntax 5-14, Syntax 7-3

 

 

 

2497

Mathematical function, pow(), was missing from

A.8.2

 

analog_built_in_function_name syntax item definition

 

2497

A new syntax item, analog_or_constant_expression, has been created to allow

5.8.1, A.8.3

 

the use of the analog analysis() function as part of the constant condi-

 

 

tional expression of an if-else statement

 

2537

Corrected example where the parameter_type was specified before the

5.10.3.1

 

parameter keyword

 

Copyright © 2009 Accellera Organization, Inc.

386

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]