Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
лекции от макса и жени.docx
Скачиваний:
22
Добавлен:
22.04.2019
Размер:
6.43 Mб
Скачать

Глава 8. Синхронизация и прием внешних сигналов в эвм.

8.1. Синхронизация в цифровых устройствах.

Синхронизация осуществляется генератором, сигналы С которого распределяются по всем частям устройства и разрешают прием и выдачу данных элементами памяти, упорядочивают во времени выполнение операций – это называется стробированием.

Тракт обработки данных можно представить чередованием комбинационных цепей (КЦ) и элементов памяти (ЭП), отражающего работу устройства при пространственном чередовании КЦ и ЭП (рис 8.1 а) и при последовательном выполнении операций в разных временных тактах на одно и том же оборудовании. (рис 8.1. б).

В КЦ – пути сигналов от входа к разным выходам могут быть неодинаковы, поэтому для расчета системы синхронизации надо оценивать задержки tкц min и tкц max для самого короткого и самого длинного путей прохождения сигналов.

Расчет параметров тактовых импульсов.

Основные соотношения параметров видны на временной диаграмме тактовых импульсов (рис 8.2) и приведены на (рис 8.3).

Период тактового сигнала Т есть сумма его длительности tu и паузы tП: T = tu+tП (рис 8.3).

Для надежной записи информации в элемент памяти (будем подразумевать синхронный триггер) должно соблюдаться условие:

tu ≥ tWC (tWC – время срабатывания триггера, задается в его паспорте).

Триггер принимает новое состояние по истечении одной из максимальных задержек t301 и t310. Параметры tWC и max (t301, t310) зачастую близки по величине, но иногда могут различаться в 2÷3 раза. Обозначим эту разность:

ΔtТР = max (t301, t310 - tWC)

После установки нового состояния триггера, сигнал с его выхода должен пройти через КЦ по самому длинному пути (tкц max) плюс время предустановки следующего триггера tC.

Тогда требуется пауза между импульсами должна быть: tП ≥ ΔtТР + tкц max + tC

Следовательно минимальный период синхросигналов есть: Tmin = tu+ tП= tWC+ ΔtТР + tкц max + tC

А их частота: fmax = 1/Tmin

В современных цифровых устройствах, работающих с высокой частотой, в расчетах параметров синхроимпульсов, приходится учитывать и время прохождения сигнала по линиям связи между элементами, т.е. учитывать топологию межсоединений. Поэтому начальное проектирование устройства является ориентировачным.

Также в расчетах учитывается и нестабильность частоты f генератора:

f = f0(1±Δf) где Δf=δ/f0

f0 начальная частота, Δf – отклонения от номинала.

Ширина поля допуска ухода частоты от номинала составляет 2 Δf → (±Δf), следовательно изменение частоты не должно выходить за пределы этого поля.

Определенные требования предъявляются и к крутизне фронтов синхроимпульсов. Следует вспомнить природу о гонках по входу (рис 8.3 а). Необходимую крутизну фронта можно определить из соотношения: рис 8.3.

t2-t1 = (Uпор 2 – Uпор 1­)/K

где К – крутизна в В/нс.

Вред малой крутизны виден из рис 8.3 б, поступление С – сигнала (сдвиг слова) должно передавать состояние Т1 триггеру Т2. Допустим порог срабатывания у Т1 (UПОР1) минимальный, а у Т2 – максимальный (UПОР2). Тогда Т1 переключится раньше чем сработает Т2, который не сможет принять сигнал с выхода Т1 и информация будет потеряна.

Есть еще такое вредное явление для бесперебойной работы устройства, как расфазирование синхросигналов. Синхросигналы обычно поступают в большое число элементов устройства от одного тактового генератора через систему распределения по пирамидальной схеме, состоящей порой из многих ярусов, что приводит к расфазированию, т.е. неодновременному приходу синхроимпульса к элементам из-за разброса задержек по линиям распределения. Это равносильно сокращению одних интервалов и удлинению других, что может привести к сбоям в работе устройства.

Есть несколько способов борьбы с расфазированием:

  • Увеличение интервалов на выходе ГТИ, т.е. уменьшению частоты синхроимпульсов (потеря быстродействия)

  • Применение специальных быстродействующих элементов в цепях разводки синхросигналов.

  • Ограничение обменов данными между элементами, синхронизируемых отдаленными выходами схемы разводки.

  • Тщательный подбор длин проводников при проектировании из топологии, введением задержек в линиях для выравнивания времен прихода синхроимпульсов.

  • В современных БИС применяется специальные схемы коррекции временного поглощения синхросигналов. Схемы получили название Phase Locked Loops (PLLS).

В заключение отметим что в цифровых устройствах применяется однофазовая, двухфазовая и многофазовая синхронизация.

8.2. Однофазовая синхронизация.

Использует минимальное число синхросигналов обеспечивая высокое быстродействие и в тоже время сопровождается специфическими проблемами. Поскольку при однофазной синхронизации на все элементы устройства подаются во времени одни и те же сигналы, то в случае безинерционных элементов такая синхронизация была бы невозможной, так как в момент подачи синхроимпульса один и тот же элемент, например, одноступенчатый триггер, должен одновременно на входе принимать данные, а на выходе выдавать хранящиеся в нем, что невозможно.

В силу же инерционности элементов однофазовая синхронизация возможна даже для одноступенчатых триггеров но при соблюдения условия рис 8.4. (1):

tWC≤tU≤tTPmin+tКЦmin – (1)

где обозначены:

tWC – время переключения триггера

tU – длительность тактового импульса

tTPmin – минимально необходимое время переключения последующего триггера

tКЦmin - минимально необходимое время переключения комбинационной цепи

здесь имеется в виду, что после переключения триггера (tWC) на входе комбинационной схемы появится новые значения данных, а по истечении tКЦmin изменится сигнал на входе последующего триггера, но которое не должно быть воспринято им, иначе его состояние измениться повторно в одном и том же такте, что недопустимо.

Отсюда вывод – необходимо строгое ограничение длительности тактового импульса tU снизу и сверху. tU должно быть таким, чтобы за это время переключился даже самый инерционный триггер и в тоже время информация не должна пройти через самый быстродействующий триггер.

К сожалению такому расчету длительности tU препятствует отсутствие в паспортах элементов сведений о минимальных задержках их срабатывания. На практике однофазовая синхронизация чаще применяется в схема с триггерами имеющими динамическое управление или двухступенчатыми, у которых чувствительность ко входным сигналам сохраняется только в окрестностях фронта сигнала.

В этом случае должно соблюдаться условие рис 8.4. (2):

tН≤tTPmin+tКЦmin

где tН – длительность фронта синхросигнала С.

8.3. Расчет минимального периода синхроимпульсов для системы однофазовой синхронизации.

Предполагается использование элементов памяти – триггеров с прямыми динамическим управлением. Временная диаграмма и основные параметры тактовых импульсов приведены на рис 8.4.

t0 – начало первого импульса

Т – период следования импульсов

±Δ – допуски сдвига фронта С – сигнала, здесь (t0 – Δ) и (t0 + Δ) – учтены:

Сдвиги в схеме размножения, задержки в линиях связи, нестабильность ГТИ.

tS – время предустановки элемента,

tВ – время задержки элемента

tTPmin и tTPmax – минимальное и максимальное время переключения триггера

tКЦmin и tКЦmax - минимальное и максимальное время переключения комбинационной цепи

очевидно:

  1. Чтобы сигнал на входе D триггера был неизменным в интервале предустановки tS, он должен устанавливаться не позднее момента (tS + Δ) для первого такта и момента

(Т – Δ - tS) для второго такта.

  1. Изменение информационного сигнала становится доступным не раньше момента (tВ + Δ)

для первого такта и момента (Т + Δ + tВ) для второго

  1. Наиболее позднее появление информационного сигнала в интервале между импульсами С происходит в момент (Δ + tTPmax + tКЦmax), а наиболее ранее в момент

(-Δ + tTPmin + tКЦmin)

На основании этих утверждений можем записать:

  1. Чтобы наиболее позднее поступление сигнала на входе D оказалось в допустимой области необходимо соблюдение условий (рис 8.4 (3) и (4))

(3) (Т – Δ - tS)≥ (Δ + tTPmax + tКЦmax),

Откуда определяется период тактовых импульсов С:

(4) Т ≥ (2Δ+ tS+ tTPmax + tКЦmax)

  1. Для обеспечения неизменности сигнала на входе D в течение tB необходимо соблюдения условия:

(-Δ + tTPmin + tКЦmin)≥ (tВ + Δ) откуда:

tКЦmin≥(2Δ+ tВ- tTPmin) (5)

  1. Для надежного переключения триггера надо выполнить условие:

tU ≥ (2Δ+ tUmin) (6)

где tUmin длительность импульса необходимая для переключения триггера.

Порядок определения вышеперечисленных параметров синхросерии:

  1. Выбор tU по выражению (6).

  2. Выбор Т по условию (4)

  3. Проверка выполнения условия (5)

Слагаемое 2Δ в выражении (5) отражает возможность запаздывания переднего и опережения заднего фронтов синхросигналов. Нарушение условия (5) может потребовать введения элементов задержек в соответствующие цепи, например, на выходах триггеров.

8.4. Двухфазовая синхронизация.

Двухфазовая или двухтактная синхронизация применяется в большинстве цифровых устройств, когда все схемы тактируются двумя взаимно разнесенными во времени последовательностями синхроимпульсов С1 и С2, вырабатываемых задающим генератором, и привязывают ко времени все процессы в устройстве.

Идею двухфазной синхронизации удобно рассмотреть на примере условной структурной схемы цифрового устройства на рис 8.5.в.

В ней все логические схемы разбиты на два класса:

  • Схемы памяти (триггеры) объединенные в большие макрорегистры MRG1 и MRG2, которые срабатывают по синхросигналам С1 и С2.

  • Комбинационные схемы объединены в макросхемы МКС1 и МКС2, в которые могут входить шифраторы, мультиплексоры, сумматоры и т.д., но отсутствуют триггеры и цепи обратной связи.

Каждая МКСi получает сигналы с выходов предыдущего MRGi, синхронизируемого С1 и подает результаты обработки на входы последующего MRGi+1 синхронизируемого С2.

Условимся, что сигналы, поступающие извне на вход D через синхронизаторы ввода внешних сигналов, меняются только по фронту С2.

На рис 8.5 б приведена временная диаграмма работы структурной схемы с двухфазной синхронизацией.

Временные параметры

ТТ – период такта,

ТФ – фазовый период,

ТИ – длительность синхроимпульса.

Как правило двухфазная синхронизация является симметричной т.е. ТТ = 2ТФ. суть процессов видна из временной диаграммы и стоит в следующем:

По фронту С1 сигнала в момент времени t1 конъюнкторы макрорегистра MRG1 открываются и триггеры меняют свое состояние выходов в соответствии с входными сигналами из предыдущей комбинационной схемы.

Выходные сигналы MRG1 начинают обрабатываться схемой МКС2, переходные процессы в которой происходят до момента t5 (заштрихованная область), но на входы MRG2 не попадают, т.к. С2 еще не открыл его входных конъюнкторов.

По фронту С2 открываются конъюнкторы MRG2 и сигналы с выхода МКС2 ( с уже закончившимися переходными процессами) поступают в MRG2.

Переходные процессы в MRG2 (до момента t6) не попадают на вход MRG1 через МКС1, поскольку конъюнкторы MRG1 открываются только по фронту С1.

И так в устройстве идет циклическая многоступенчатая обработка данных: часть МКС в каждый момент времени работает, другая в этот момент ждет своей очереди, затем они меняются ролями.

Выводы:

  1. Несмотря на переходные и гоночные процессы протекающие в МКС, информация в регистры передается устоявшаяся, что исключает ее дальнейшее искажение.

  2. Необходимо соблюдение условия выбора синхроимпульсов: С1*С2=0.

Если условие будет нарушено, то информация придет последовательно сразу через несколько MRG, синхронизируемых равными фазами и тогда искажения информации не избежать.

  1. Необходимое условие правильной работы схем: все переходные процессы и зедержки в МКС должны заканчиваться до появления очередного синхроимпульса.

  2. Для двухфазовой синхронизации характерно применение триггеров – защелок (D – триггеров), которые четко управляются синхросигналами и в их отсутствие являются непроницаемыми для сигнала и помех.

  3. Во всех схемах с двухфазной синхронизацией надо осмотрительно пользоваться обратными связями любого вида: содержащими логические элементы или просто проводники. На рис 8.5 а О.С. в виде пунктирных линий запрещены, т.к. они нарушат поочередность работы MRG и потактное продвижение информации, вследствие чего возможна передача искажений из – за переходных процессов.

О.С. начинающаяся с выхода триггера, синхронизируемого одной фазой должна подаваться только на вход триггера, синхронизируемого другой фазой.

  1. На выбор временных параметров ТФ, ТU – влияют три основных факта:

  • Задержки tЗД.КС. комбинационных схем

  • Тип используемых синхронных триггеров

  • Схемные решения распределения синхросигналов по потребителям в устройстве

Рассмотрим их кратко:

  1. Если tЗД.КС << ТФ, то на правильность работы устройства это не повлияет, но быстродействие аппаратуры окажется недоиспользовано.

tЗД.КС > ТФ, то устройство будет неработоспособным, поскольку триггеры, синхронизируемые следующей фазой, будут запоминать не установившиеся в предыдущей МКС, то есть ложные сигналы.

Методы борьбы с этим фактором:

  • При tЗД.КС << ТФ можно выбрать более высокую частоту синхросигналов или

  • Комбинационную схему, у которой tЗД.КС > ТФ (если это допустимо) разбить на 2 части с размещением между ними дополнительного регистра с последующей перефазировкой последующих регистров.

Например как показано на рис 8.6. а.

Если комбинационная схема не делима, то можно сформировать специально для нее вспомогательную серию синхроимпульсов с более длинным периодом.

  • Можно все КС с большой tЗД.КС разместить в одной фазе синхронизации, а с малой tЗД.КС в другой фазе переместить ассиметричную синхронизацию, в которой С2 размещается ближе к С1 или дальше от С1.

  • Хорошим методом борьбы с большими tЗД.КС является применение многофазовой синхронизации ( пример 4х фазной показан на рис 8.6 б). суть ее в следующем в зависимости от величины tЗД.КС на С-вход регистра, принимающего сигналы от КС, заводятся соответствующая фаза 1Тф, 2TA или 3ТФ относительно той фазы,которая управляет регистром, передающим результаты на эту КС.

  1. При применении триггеров – защелок ( D – триггеров) длительность переходных процессов в некоторых КС может превышать фазовый период ТФ. в этом случае важно то, что если в одной КС длительность переходного процесса превышает ТФ на Δt, то в последующих КС эта задержка должна быть меньше ТФ на те же Δt.

  1. Разводить синхросигналы от мощного генератора не рекомендуется (из – за возможных помех). Лучше использовать разводки в виде многоярусного дерева, в котором надо учитывать 2 вида задержек:

τk – стабильная, обусловленная задержками в кабелях, которая компенсируется элементами задержек.

τус – задержки усилителей (элементов), которые могут вызывать расширение или сужение Ти , что требует постоянной проверки условия С1*С2=0, которое нельзя нарушать.

Процессы синхронизации весьма сложные. Подробно о них можно ознакомиться в книге Потемкина И.С. стр 214÷234.

8.5. Прием внешних сигналов в ЭВМ.

ЭВМ используемая для управления объектами получает при этом сигналы от самих объектов. Источником сигналов могут быть: контакты кнопок, реле, импульсные сигналы электронных схем и сигналы других цифровых устройств.

Параметры таких сигналов, как правило, отличаются от допустимых параметров сигналов, применяемых в ЭВМ.

Входной сигнал в ЭВМ должен иметь: полярность, амплитуду соответствующие уровням сигналов в ЭВМ. Это требование выполняется обычно введением в цепи передачи делителей напряжения и соответствующих усилителей.

Для согласования, например, уровней сигналов между МДП, ТТЛ, ЭСЛ выпускаются специальные микросхемы. Более сложной задачей является согласование сигналов по временным параметрам: длительности фронтов, дребезг контактов, привязка входных сигналов к синхросерии ЭВМ. Коротко об этих параметрах:

  1. Формирование длительности фронтов, которая у входного сигнала может превышать требуемую во много раз. Более того длительность фронта может превышать даже периоды синхросерии, все это может вызывать гонки по входу. Для преобразования пологих фронтов используют, как правило, триггер Шмидта (К155ТЛ1).

Дребезгом контактов называют процесс вибрации контактов, вызванный ударами при включении реле, тумблеров, кнопок. Частота дребезга в пределах единиц – сотен кГц, то есть последовательные касания контактов, разделенных сотнями и тысячами периодов синхронизации ЭВМ, поэтому ЭВМ может реагировать на них как на отдельные сигналы.

Методы ликвидации дребезга:

  1. Программные: вводится пауза между нажатием кнопки и используемым сигналом. (в паузе идет серия пустых команд NOP).

  2. Схемные методы, например, с использованием свойств триггера. Для случая однополюсного ключа на 2 положения приведена схема устранения дребезга и временная диаграмма ее работы приведены на рис 8.7.

Во время пролета контакта от «0» к «1» (t1÷t2) – триггер находится в режиме хранения: высокие уровни на S и R входах. При первом касании контакта (t2) триггер переключается. Далее триггер в режиме нового хранения, т.к. кратко временные отрывы контакт не меняют этот режим (контакт не касается «0»).

Иногда вместо RS – триггера используется одновибратор со временем выдержки

tВЫД ≥ (t3-t2) (рис 8.7)

сигнал с дребезгом можно очистить интегрированием на выходе цепочки RC с достаточной постоянной времени:

(t3-t2)=t≈0,7RC

C последующим формированием импульса, например, с помощью триггера Шмидта.

  1. Синхронизация одиночных входных импульсов. (от кнопок, реле и т.д.) для привязки их к синхросерии ЭВМ. Входной сигнал должен поступать в ЭВМ в начале тактового периода Т синхросерии и быть по длительности равным не менее этого периода. Пример реализации приведен на рис 8.8а.

tЗД - предназначено для компенсации сужения выходного сигнала Q2. Работа схемы поясняется временной диаграммой на рис 8.8 б)

  1. Синхронизатор для ввода асинхронных данных при двухфазной синхронизации в ЭВМ.

На рис 8.9 приведены схема синхронизатора и временная диаграмма его работы.

из диаграммы видно: если положительный фронт входного сигнала IN поступит не позже момента начала С1 ( на диаграмме а) то в результате сформируется выходной сигнал OUT – А, совпадающий синхросигналом 2 последовательности С2.

Если IN поступит после С1 (d или e) то триггер Т1 не успеет переключиться по сигналу 1 последовательности С1, а переключится только по сигналу 3 (последовательности С1), что вызовет сдвиг выходного сигнала OUT в положение В, что не имеет значения, так как входной сигнал IN по определению асинхронный. Таким образом триггер Т1 решает: к какому из двух соседних синхроимпульсов отнести появление выходного сигнала OUT.

Замечание.

В промежутке есть момент неопределенности, когда энергия входного сигнала, пропущенного синхросигналом 1 (послед. С1) окажется недостаточной для устойчивого перевода триггера Т1 в другое состояние, следовательно, он может перейти в «0» или «1». Такое состояние Т1 называется «метастабильной аномалией». Подобное явление встречается с вероятностью 10-6. Поэтому рекомендуется иметь длительность входного сигнала не менее периода синхронизации плюс сумма интервалов подготовки и выдержки триггера Т1.

На срезе входного сигнала триггеры Т1, Т2, Т3 будут переключаться в «0» по порядку, но элемент И выходного сигнала уже не сформирует его.

  1. Синхронизатор для ввода в ЭВМ при обмене данными с другим цифровым устройством представлен на рис 8.10а, а временная диаграмма его работы на рис 8.10 б.

У каждого цифрового устройства может быть своя тактовая частота, поэтому данные передатчика будут асинхронными для приемника и следовательно их надо пропускать через синхронизатор, как например, представленный на рис 8.10 а для случая однофазовой синхронизации.

Замечание:

  1. Допустимое отклонение импульса и паузы входных сигналов равна:

ΔТИ = Т – (ТП min + ТИ min), где Т – период входных сигналов.

  1. Частота передачи (допустимая) по сравнению с синхронным обменом (бит за битом) в 2,5÷3 раза будет ниже.