Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

2 Семестр / Отчеты / 17 варик / ЭИС лаб1 _данные_удалены

.pdf
Скачиваний:
7
Добавлен:
07.06.2022
Размер:
2.42 Mб
Скачать

3.4 Код на VHDL

Ниже, на рисунке 3.4.1, представлен код для устройства «2ИСКЛ.ИЛИ»

Рисунок 3.4.1 – Устройство «2ИСКЛ.ИЛИ» на VHDL

3.5 Моделирование Ниже, на рисунке 3.5.1 и 3.5.2 продемонстрировано моделирование в

режиме Timing и Function для функциональной схемы.

Рисунок 3.5.1 – Моделирование в режиме Timing

11

Рисунок 3.5.2 – Моделирование в режиме Functional

Далее, на рисунках 3.5.3 и 3.5.4 представлены изображения моделирования устройства «2ИСКЛ.ИЛИ» по коду VHDL.

Рисунок 3.5.3 – Моделирование в режиме Functional

Рисунок 3.5.4 – Моделирование в режиме Timing

12

4 УСТРОЙСТВО «2И-НЕ»

4.1 Таблица истинности Ниже, приведена таблица 4.1.1, таблица истинности для данного

устройства.

Таблица 4.1.1 - Таблица истинности для устройства "2И-НЕ"

x1

x2

y

 

 

 

0

0

1

 

 

 

0

1

1

 

 

 

1

0

1

 

 

 

1

1

0

 

 

 

4.2 Формула

Ниже представлена формула для устройства «2И-НЕ» Формула имеет следующий вид: y = x1 x2

4.3 Функциональная схема

Ниже на рисунке 4.3.1 представлена функциональная схема устройства

«2И-НЕ»

Рисунок 4.3.1 – Функциональная схема, для устройства «2И-НЕ»

13

Для данного устройства, при наличии на одном из входов, хотя бы одного 0, на выходе мы будем иметь 1, в случае, когда на двух входах у нас будут 1, на выходе будет 0.

4.4 Код на VHDL

Ниже представлен код для данного устройства, на VHDL (Рисунок 4.4.1)

Рисунок 4.4.1 – Код для устройства «2И-НЕ»

4.5 Моделирование На рисунке 4.5.1 и 4.5.2 представлено моделирование функциональной

схемы, в двух режимах работы, Functional и Timing

Рисунок 4.5.1 – Моделирование устройства «2И-НЕ» в режиме Timing

14

Рисунок 4.5.2 – Моделирование устройства «2И-НЕ» в режиме Functional

Ниже, на рисунках 4.5.3 и 4.5.4 представлено моделирование устройства, VHDL.

Рисунок 4.5.3 – Моделирование устройства, в режиме Functional

Рисунок 4.5.4 – Моделирование устройства, в режиме Timing

15

5 УСТРОЙСТВО «2ИЛИ-НЕ»

5.1 Таблица истинности Ниже представлена таблица, таблица истинности для данного

устройства «2ИЛИ-НЕ» Таблица 5.1.1 – Таблица истинности для устройства «2ИЛИ-НЕ»

x1

x2

y

 

 

 

0

0

1

 

 

 

0

1

0

 

 

 

1

0

0

 

 

 

1

1

0

 

 

 

5.2 Формула

Ниже представлена формула для устройства «2ИЛИ-НЕ» Формула имеет следующий вид: y = x1 x2

5.3 Функциональная схема На рисунке 5.3.1 изображена функциональная схема установки «2ИЛИ-

НЕ»

Рисунок 5.3.1 – Функциональная схема установки

16

Данная установка работает по следующему принципу, если у нас на входах присутствует хотя бы одна единица, то на выходе будет 0, для вывода

1, нам нужно подать на вход нули.

5.4 Код VHDL

На рисунке 5.4.1 изображен код VHDL, для данной установки.

Рисунок 5.4.1 – Код на VHDL для устройства «2ИЛИ-НЕ»

5.5 Моделирование Ниже представлены рисунки 5.5.1 и 5.5.2 на которых изображено

моделирование установки «2ИЛИ-НЕ» по функциональной схеме.

Рисунок 5.5.1 – Моделирование в режиме Timing

17

Рисунок 5.5.2 – Моделирование в режиме Function

Далее представлено моделирование устройства с VHDL, на рисунках

5.5.3 и 5.5.4 изображено данное моделирование устройства «2ИЛИ-НЕ»

Рисунок 5.5.3 – Моделирование в режиме Function

Рисунок 5.5.4 – Моделирование в режиме Timing

18

6 УСТРОЙСТВО «2ИСКЛ.ИЛИ-ИЛИ-НЕ»

6.1 Таблица истинности Ниже представлена таблица истинности, для заданного вариантом

устройства «2ИСКЛ.ИЛИ-ИЛИ-НЕ».

Таблица 6.1.1 – Таблица истинности устройства «2ИСКЛ.ИЛИ-ИЛИ-

НЕ»

 

вход

 

 

выход

 

 

 

 

 

 

x

y

 

z

w

F

 

 

 

 

 

 

0

0

 

0

0

1

 

 

 

 

 

 

0

0

 

0

1

0

 

 

 

 

 

 

0

0

 

1

0

0

 

 

 

 

 

 

0

0

 

1

1

1

 

 

 

 

 

 

0

1

 

0

0

0

 

 

 

 

 

 

0

1

 

0

1

0

 

 

 

 

 

 

0

1

 

1

0

1

 

 

 

 

 

 

0

1

 

1

1

0

 

 

 

 

 

 

1

0

 

0

0

0

 

 

 

 

 

 

1

0

 

0

1

0

 

 

 

 

 

 

1

0

 

1

0

0

 

 

 

 

 

 

1

0

 

1

1

0

 

 

 

 

 

 

1

1

 

0

0

1

 

 

 

 

 

 

1

1

 

0

1

0

 

 

 

 

 

 

1

1

 

1

0

0

 

 

 

 

 

 

1

1

 

1

1

1

 

 

 

 

 

 

6.2 Формула Ниже представлена формула для устройства «2ИСКЛ.ИЛИ-ИЛИ-НЕ»

Формула имеет следующий вид: F=(x y) (z w)

19

6.3 Функциональная схема На рисунке 6.3.1 представлено изображение функциональной схемы,

для устройства, заданного вариантом, «2ИСКЛ.ИЛИ-ИЛИ-НЕ».

Рисунок 6.3.1 – Функциональная схема устройства заданного по варианту

На вход данному устройству подаётся 4 значения: x, y, z и w, после чего x,y и z,w попарно проходят блок «Исключающее ИЛИ», после чего результаты блоков проходят как входные данные для блока ИЛИ, результат блока ИЛИ является входным значением для блока НЕ. Для получения на выходе 1, нужно чтобы на вход подавались либо все единицы, либо все нули, также единицу можно получить в случае, если у нас на вход одного из искл.или подаются одинаковые значения.

6.4 Код VHDL

На рисунке 6.4.1 представлено изображения кода VHDL для устройства

«2ИСКЛ.ИЛИ-ИЛИ-НЕ».

Рисунок 6.4.1 – Код VHDL 20