Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
VHDL.doc
Скачиваний:
8
Добавлен:
22.08.2019
Размер:
272.38 Кб
Скачать

10 В поведенческом стиле

Задача №10

Опишите на языке VHDL интерфейс и архитектуру объекта, схема которого представлена на рисунке. Описание в целом д.б. выполнено в поведенческом стиле.

Решение

-- Интерфейс основного компонента

entity entity_main is

port (i1, i2, i3, i4 : in BIT;

QQ: out BIT);

end entity_main;

-- Архитектура основного компонента

architecture architecture_main of entity_main is

begin

QQ<=(not((i2 xor i3)or i4)) or i1;

end architecture_main;

22

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]