Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
Скачиваний:
24
Добавлен:
12.02.2015
Размер:
139.78 Кб
Скачать

Требования безопасности труда

Питание IBM-PCсовместимого компьютера осуществляется от сети 220 В, 50 Гц. При работе с ним должны выполняться общие правила работы с электрическими установками.

Все коммутации устройств IBM-PCсовместимого компьютера должны проводиться при отключенном питании.

При работе с USBпортом запрещается опираться на соседний компьютер.

Запрещается выполнять коммутации устройств через заднюю панель компьютера.

При замеченных неполадках следует обратиться к преподавателю.

Порядок проведения работы

1. Подготовка к выполнению лабораторной работы.

Разработка VHDLмоделей схем контроля четности и равнозначности кодов и их верификация должны быть проведены в средеGHDL(hostPC)

Выполнение лабораторной работы проводится в аудитории под контролем преподавателя.

Перед выполнением лабораторной работы необходимо проверить наличие защитного заземления, исправность схем аварийного отключения питания.

2. Порядок выполнения лабораторной работы:

- в соответствии с разработанной принципиальной электрической схемой, выполнить необходимые коммутации на макетной плате FLEX8000 (target);

- подсоединить к контрольным точкам щупы осциллографа;

- включить питание (подсоединить FLEX8000 к удлинителюUSB);

- при правильном соединении загорается светодиод HL1;

- при возникновении проблем с соединением необходимо обратиться к преподавателю;

- сконфигурировать ПЛИС FLEX8000 в средеGHDL;

- наблюдать временные диаграммы в контрольных точках, обеспечивая синхронизацию органами управления осциллографа;

- при несоответствии наблюдаемых осциллограмм заданию, необходимо повторить синтез VHDLмоделей схем с учетом отмеченных недостатков; затем необходимо провести верификациюVHDLмодели. Внесенные изменения необходимо согласовать с преподавателем и повторить валидациюVHDLмодели (Host PC);

- скопировать временные диаграммы с экрана осциллографа.

Содержание отчета

Отчет к лабораторной работе должен содержать:

  1. Задание на разработку цифровой системы контроля четности и равнозначности кодов

  2. Структурную схему VHDLмодели цифровой системы

  3. Программную модель цифровой системы

  4. Верификация цифровой системы в среде GHDL

  5. Временной анализ верификации VHDL(AHDL) модели в средеGHDL.

  6. Принципиальную электрическую схему валидации VHDLмодели на макетеFLEX8000.

  7. Временной анализ валидации VHDLмодели цифровой системы. Оценку коэффициентов управляемости и коэффициентов наблюдаемости схемы

  8. Рекомендации по совершенствованию системы тестирования

  9. Выводы по лабораторной работе.

Контрольные вопросы

  1. Приведите определение функции четности.

  2. Приведите функциональную схему реализации контроля четности для нечетного числа разрядов контролируемого числа.

  3. Приведите функциональную схему реализации контроля четности для четного числа разрядов контролируемого числа.

  4. Приведите функциональную схему реализации операции «больше» для нечетного числа разрядов сравниваемых чисел

  5. Приведите функциональную схему реализации операции «больше» для четного числа разрядов сравниваемых чисел

  6. Приведите функциональную схему реализации операции «меньше или равно» для нечетного числа разрядов контролируемого числа

  7. Приведите функциональную схему реализации операции «меньше или равно» для четного числа разрядов контролируемого числа

  8. Приведите функциональную схему реализации контроля состояния памяти для бита 1.

  9. Приведите функциональную схему реализации контроля состояния памяти для бита 2.

  10. Приведите функциональную схему реализации контроля состояния памяти для бита 4.

  11. Приведите функциональную схему реализации контроля состояния памяти для бита 8.

  12. Приведите функциональную схему реализации контроля состояния памяти для бита 16.

Соседние файлы в папке лабораторные