Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
Скачиваний:
16
Добавлен:
12.02.2015
Размер:
214.53 Кб
Скачать

Лабораторная работа № 11

Наименование работы

Разработка цифровых систем на основе автоматной модели

Цель работы

  1. Закрепить понятие конечного автомата на примере разработки счетчика или регистра общего назначения

  2. Изучить особенности проектирования конечных автоматов в VHDL

Задание к лабораторной работе

Требуется разработатьVHDLмодель цифрового устройства и провести его валидацию.

Варианты заданий к лабораторной работе /2/

Вариант

Счетчик или регистр

1

К155ИЕ2

2

К155ИЕ4

3

К155ИЕ5

4

К155ИЕ6

5

К155ИЕ8

6

К155ИЕ9

7

К155ИЕ10

8

К155ИЕ18

9

К155ИЕ14

10

К155ИЕ16

11

К155ИР1

12

К155ИР11

13

К155ИР12

14

К155ИР13

Перечень используемого оборудования и ПО

1. Разработка VHDLмодели цифровой системы должна проводиться в средеOrCAD9.1 фирмыCadence.

2. VHDLмодель цифровой системы должна быть верифицирована в средеMAXPLUSAltera.

3. Для валидации VHDLмодели цифровой системы необходимо использовать целевое устройство - лабораторный макетFLEX8000 (target).

4. Для визуального контроля за формой сигнала и оценки временных параметров необходимо использовать аналоговый осциллограф С1-93.

5. Разработка VHDLмодели цифровой системы должна проводиться наIBMсовместимых ПК с использованием операционной системыWindowsXP(host PC).

Краткие теоретические сведения

В ряде случаев автоматная модель (описание) устройства позволяет полу­чить быструю и эффективную реализа­цию последовательноcтных устройств.

Наиболее часто рассматривают два типа автоматов - автомат Мили (Mealy) и Мура (Moore).

Выход автомата Мура является функцией только текущего со­стояния, в то время как выход автома­та Мили - функция как текущего состо­яния, так и внешнего воздействия.

Обычно конечный автомат состоит из трех основных частей (рис.1):

  • регистр текущего состояния. Этот регистр представляет собой набор тактируемых D-триггеров, синхрони­зируемых одним синхросигналом, и используется для хранения кода те­кущего состояния автомата. Очевид­но, что для автомата с n состояния­ми требуется log2(n) триггеров;

  • логика переходов. Как известно, ко­нечный автомат может находиться вкаждый конкретный момент време­ни только в одном состоянии. Каждый тактовый импульсвызывает переход автомата из одного состояния в дру­гое. Правила перехода и определяются комбинационной схемой, называемой логикой переходов. Следующее состояние определяется как функция текущего состоя­ния и входного воздействия;

  • логика формирования выхода. Выход цифрового авто­мата обычно определяется как функция текущего состо­яния и исходной установки (в случае автомата Мили).Формирование выходного сигнала автомата определя­ется с помощью логики формирования выхода.

Рис.1 Структурная схема автоматной модели

Для обеспечения стабильной и безотказной работы ис­пользуется сброс автомата в начальное состояние. Таким образом, всегда обеспечивается инициализация автоматав заранее предопределенное состояние при первом такто­вом импульсе.

В случае, если сброс не предусмотрен, не­возможно предсказать, с какого начального состояния нач­нется функционирование, и это может привести к сбоям вработе всей системы. Эта ситуация особенно актуальна при включении питания системы.

Обычно при­меняют асинхронные схемы сброса из-за того, что при этом не нужен дешифратор неиспользуемых (избыточных) состо­яний, что упрощает логику переходов.

С другой стороны, ввиду того, что ПЛИС, выполненные по архитектуре FPGA, имеют достаточное число регистров (триггеров), использование автоматных моделей позволяетполучить достаточно быстродействующую и наглядную ре­ализацию при малых затратах ресурсов.

Наибольшее распространение конечные автоматы получили при разработке счетчиков и регистров различного назначения.

Математические модели конечных автоматов строятся в соответствии с рассмотренными ранее подходами, применяемыми для комбинационных и последовательностных схем.

Соседние файлы в папке лабораторные