Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

2 Семестр / Отчеты / 9 варик / 3laboratornaya_данные_удалены

.pdf
Скачиваний:
12
Добавлен:
07.06.2022
Размер:
2.35 Mб
Скачать

Министерство науки и высшего образования Российской Федерации

Федеральное государственное бюджетное образовательное учреждение высшего образования

«ТОМСКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ СИСТЕМ УПРАВЛЕНИЯ И РАДИОЭЛЕКТРОНИКИ» (ТУСУР)

Кафедра комплексной информационной безопасности электронновычислительных систем (КИБЭВС)

МУЛЬТИПЛЕКСОРЫ И ДЕМУЛТЕПЛЕКСОРЫ

Отчет по лабораторной работе №3 по дисциплине «Электроника и схемотехника 2»

Вариант №9

Студент гр. ____

______________

__.__.202_

Преподаватель

каф. КИБЭВС

______________

__.__.202_

Томск 2022

Введение

Цель работы: научиться создавать мультиплексоры и демультиплексоры на разных базисах, а также реализовывать функцию на мультиплексоре и дешифраторе.

Постановка задачи:

1. Составить таблицы истинности для схем из таблицы согласно

варианту:

 

Тип

Базис

Нарастить до

 

 

 

 

Мультиплексор

8-1

ИЛИ-НЕ

16-1

Демультиплексор

1-4

И-НЕ

2-8

Написать формулы для всех выходов в СДНФ или СКНФ. Привести формулы

ктребуемому базису согласно варианту.

2.Собрать схемы согласно полученным формулам. Согласно варианту создать блок большей размерности на основе полученного блока.

3.Создать две схемы, которые реализуют функцию по формуле из варианта задания ЛБ1 ( = ∩ (( ) )): одна схема на основе мультиплексора, а вторая схема на основе дешифратора.

4.Промоделировать работу всех схем в двух режимах. Сделать

предположение из-за чего возникает разница в результатах моделирования

разных режимов и почему.

5.Сверить результаты моделирования с составленными таблицами истинности.

6.Повторите п. 2, только для описания устройств вместо схем на холстах

.bdf используйте заданный вариантом HDL (VHDL). При масштабировании используйте модульное подключение.

7. Напишите выводы о проделанной работе.

2

1. МУЛЬТИПЛЕКСОР X-Y

Согласно 9 варианту, тип мультиплексора 8-1 должно быть 6 входов на базисе ИЛИ-НЕ. Нарастить до 16-1. Ниже приведены таблица истинности (1.1)

и формулы для всех входов и выходов устройства. А также таблица и формулы для преобразованного устройства.

Таблица 1.1 – Таблица истинности для мультиплексора 8-1.

 

 

 

 

 

Входы

 

 

 

 

 

Выходы

E

7

6

5

4

3

2

1

0

2

1

0

F

1

x

x

x

x

x

x

x

0

0

0

0

0

1

x

x

x

x

x

x

1

x

0

0

1

1

1

x

x

x

x

x

2

x

x

0

1

0

2

1

x

x

x

x

3

x

x

x

0

1

1

3

1

x

x

x

4

x

x

x

x

1

0

0

4

1

x

x

5

x

x

x

x

x

1

0

1

5

1

x

6

x

x

x

x

x

x

1

1

0

6

1

7

x

x

x

x

x

x

x

1

1

1

7

0

x

x

x

x

x

x

x

x

x

x

x

0

Формула:

F=

̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅ ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅ ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

( 0 2 1 0) ( 1 2 1 0) ( 2 2 1 0)

̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

̅̅̅̅̅̅̅̅̅̅̅ ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅ ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅ ̅̅

( 3 2 1 0) ( 4 2 1 0) ( 5 2 1 0)

̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅ ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

̅̅ ̅ ̅̅

( 6 2 1 0) ( 7 2 1 0)

3

Ниже на рисунках 1.1-1.3 изображены схема и моделирование мультиплексора 8-1. На рисунках 1.4-1.6 код, моделирование и RTL-схема.

Рисунок 1.1 – Функциональная схема мультиплексора 8-1.

Рисунок 1.2 – Моделирование схемы мультиплексора 8-1.

4

Рисунок 1.3 – Функциональный режим моделирования мультиплексора 8- 1.

Рисунок 1.4 – Код мультиплексора 8-1 на VHDL.

Рисунок 1.5 – Моделирование кода мультиплексора 8-1.

5

 

Mux0

 

 

 

 

 

 

a[2..0]

 

 

 

 

 

F

 

DATA[7..0]

 

 

 

 

 

 

 

 

SEL[2..0]

OUT

 

 

 

d[7..0]

 

 

 

 

 

 

 

F

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

MUX

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

E

Рисунок 1.6 – RTL-схема мультиплексора 8-1.

6

Таблица 1.2 – Таблица истинности мультиплексора 16-1.

 

 

 

 

 

 

 

 

Вход

 

 

 

 

 

 

 

 

 

 

 

Выход

 

 

 

 

 

 

 

 

ы

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

ы

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

d

 

 

 

 

 

 

 

 

 

a

 

E

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

F

15

14

13

12

11

10

9

8

 

7

6

5

4

3

2

1

0

3

2

1

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

x

x

x

x

x

x

x

x

 

x

x

x

x

x

x

x

0

0

0

0

0

0

1

x

x

x

x

x

x

x

x

 

x

x

x

x

x

x

1

x

0

0

0

1

1

1

x

x

x

x

x

x

x

x

 

x

x

x

x

x

2

x

x

0

0

1

0

2

1

x

x

x

x

x

x

x

x

 

x

x

x

x

3

x

x

x

0

0

1

1

3

1

x

x

x

x

x

x

x

x

 

x

x

x

4

x

x

x

x

0

1

0

0

4

1

x

x

x

x

x

x

x

x

 

x

x

5

x

x

x

x

x

0

1

0

1

5

1

x

x

x

x

x

x

x

x

 

x

6

x

x

x

x

x

x

0

1

1

0

6

1

x

x

x

x

x

x

x

x

7

x

x

x

x

x

x

x

0

1

1

1

7

1

x

x

x

x

x

x

x

8

 

x

x

x

x

x

x

x

x

1

0

0

0

8

1

x

x

x

x

x

x

9

x

x

x

x

x

x

x

x

x

1

0

0

1

9

1

x

x

x

x

x

1

x

x

x

x

x

x

x

x

x

x

1

0

1

0

10

 

 

 

 

 

 

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

x

x

x

x

1

x

x

x

x

x

x

x

x

x

x

x

1

0

1

1

11

 

 

 

 

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

x

x

x

1

x

x

x

x

x

x

x

x

x

x

x

x

1

1

0

0

12

 

 

 

 

2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

x

x

1

x

x

x

x

x

x

x

x

x

x

x

x

x

1

1

0

1

13

 

 

 

3

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

x

1

x

x

x

x

x

x

x

x

x

x

x

x

x

x

1

1

1

0

14

 

 

4

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

1

x

x

x

x

x

x

x

x

x

x

x

x

x

x

x

1

1

1

1

15

 

5

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

0

x

x

x

x

x

x

x

x

x

x

x

x

x

x

x

x

x

x

x

x

0

7

Ниже на рисунках 1.7-1.9 изображены схема и моделирование мультиплексора 16-1. На рисунках 1.10-1.12 код, моделирование и RTL-схема.

Рисунок 1.7 – Функциональная схема мультиплексора 16-1.

Рисунок 1.8 – Моделирование схемы мультиплексора 16-1.

8

Рисунок 1.9 – Функциональный режим моделирования мультиплексора

16-1.

9

Рисунок 1.10 – Код мультиплексора 16-1 на VHDL.

10