Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

2 Семестр / Отчеты / 12 варик / От_ЛР6_ЭиС_данные_удалены

.pdf
Скачиваний:
9
Добавлен:
07.06.2022
Размер:
524.31 Кб
Скачать

Министерство науки и высшего образования Российской Федерации

Федеральное государственное бюджетное образовательное учреждение высшего образования

«ТОМСКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ СИСТЕМ УПРАВЛЕНИЯ И РАДИОЭЛЕКТРОНИКИ» (ТУСУР)

Кафедра комплексной информационной безопасности электронно-

вычислительных систем (КИБЭВС)

ИЗУЧЕНИЕ РАБОТЫ РЕГИСТРОВ

Отчет по лабораторной работе №6 по дисциплине «Электроника и схемотехника»

Вариант № 12

Студент гр.

==.==.2022

Руководитель

== кафедры КИБЭВС

_______

==.==.2022

Томск 2022

ВВЕДЕНИЕ

Цель работы изучить изучение регистров, их принципы построения и работы.

В ходе данной лабораторной работы требуется выполнить следующие задачи:

1. Составить таблицы истинности для схем согласно варианту.

Таблица 1 – Задание по варианту

 

SISO

SIPO

PIPO

 

 

 

 

Направление сдвига

Влево

Вправо

-

 

 

 

 

Загрузка данных

Синхронная

-

Асинхронная

 

 

 

 

Тип сброса

Асинхронный

Синхронный

Синхронный

 

 

 

 

Разрядность, бит

8

12

14

 

 

 

 

2. Собрать схемы заданных счётчиков на холстах .bdf.

3. Промоделировать работу всех схем в двух режимах (timing и functional). Сравнить результаты моделирования с составленными таблицами

истинности.

4. Используя заданный вариантом HDL, описать и промоделировать те же устройства. Вариант HDL: VHDL.

.

2

1. РЕГИСТР ТИПА SISO

1.1Таблица истинности

Втаблице 1.1 представлена таблица истинности.

Таблица 1.1 – Таблица истинности

 

Входы

Выход

 

 

Сигнала

а

 

R

Load

Q7

 

1

a1

 

0

0

0

 

 

2

a2

 

0

0

0

 

 

3

a3

 

0

0

0

 

 

4

a4

 

0

0

0

 

 

5

a5

 

0

0

0

 

 

6

a6

 

0

0

0

 

 

7

a7

 

0

0

0

 

 

8

a8

 

0

0

a1

 

9

a9

 

0

0

a2

 

10

a10

 

0

0

a3

 

11

a11

 

0

1

b7

 

12

a12

 

0

0

a4

 

13

a13

 

0

0

a5

 

14

a14

 

0

0

a6

 

15

a15

 

1

0

0

 

 

16

a16

 

0

0

0

 

 

17

a17

 

0

0

0

 

 

18

a18

 

0

0

0

 

 

 

 

 

 

1.2

Функциональная схема

На рисунке 1.1 представлена функциональная схема.

3

Рисунок 1.1 - Схема регистра SISO

1.2Моделирование функциональной схемы

На рисунках 1.2–1.3 представлены результат моделирования в двух

режимах.

Рисунок 1.2 - Результат моделирования (Timing)

4

Рисунок 1.3 - Результат моделирования (Functional)

Результат моделирования совпадает со значениями из таблицы истинности, представленной в таблице 1.1.

1.3Код на HDL

На рисунке 1.4 представлен код описания на VHDL.

Рисунок 1.4 – Код описания устройства на VHDL

1.4Схема из RTL viewer для кода HDL

На рисунке 1.5 представлена схема из RTL Viewer для кода,

написанном на VHDL.

5

Рисунок 1.5 – Схема из RTL viewer

1.5Моделирование устройства, описанного кодом HDL

На рисунках 1.6–1.7 представлены результаты моделирования асинхронного счётчика, описанного на VHDL.

Рисунок 1.6 - Результат моделирования (Timing)

Рисунок 1.7 - Результат моделирования (Functional)

Результат моделирования совпадает со значениями из таблицы истинности, представленной в таблице 1.1.

6

2. РЕГИСТР ТИПА SIPO

2.1Таблица истинности

Втаблице 2.1 представлена таблица истинности регистра SIPO. Таблица 2.1 – Таблица истинности

Вход

 

 

 

 

 

Выходы

 

 

 

 

 

Сигнала

а

R

Q11

Q10

Q9

Q8

Q7

Q6

Q5

Q4

Q3

Q2

Q1

Q0

1

a1

0

a1

0

0

0

0

0

0

0

0

0

0

0

2

a2

0

a2

a1

0

0

0

0

0

0

0

0

0

0

3

a3

0

a3

a2

a1

0

0

0

0

0

0

0

0

0

4

a4

0

a4

a3

a2

a1

0

0

0

0

0

0

0

0

5

a5

0

a5

a4

a3

a2

a1

0

0

0

0

0

0

0

6

a6

0

a6

a5

a4

a3

a2

a1

0

0

0

0

0

0

7

a7

0

a7

a6

a5

a4

a3

a2

a1

0

0

0

0

0

8

a8

0

a8

a7

a6

a5

a4

a3

a2

a1

0

0

0

0

9

a9

0

a9

a8

a7

a6

a5

a4

a3

a2

a1

0

0

0

10

a10

0

a10

a9

a8

a7

a6

a5

a4

a3

a2

a1

0

0

11

a11

0

a11

a10

a9

a8

a7

a6

a5

a4

a3

a2

a1

0

12

a12

0

a12

a11

a10

a9

a8

a7

a6

a5

a4

a3

a2

a1

13

a13

0

a13

a12

a11

a10

a9

a8

a7

a6

a5

a4

a3

a2

14

a14

1

0

0

0

0

0

0

0

0

0

0

0

0

2.2Функциональная схема

На рисунке 2.1 представлена функциональная схема.

Рисунок 2.1 - Схема регистра SIPO 7

2.2Моделирование функциональной схемы

На рисунках 2.2–2.3 представлены результат моделирования в двух режимах.

Рисунок 2.2 - Результат моделирования (Timing)

Рисунок 2.3 - Результат моделирования (Functional)

Результат моделирования совпадает со значениями из таблицы истинности, представленной в таблице 2.1.

2.3Код на HDL

На рисунке 2.4 представлен код описания на VHDL.

8

Рисунок 2.4 – Код описания устройства на VHDL

2.4Схема из RTL viewer для кода HDL

На рисунке 2.5 представлена схема из RTL Viewer для кода,

написанном на VHDL

Рисунок 2.5 – Схема из RTL viewer

2.5Моделирование устройства, описанного кодом HDL

На рисунках 2.6–2.7 представлены результаты моделирования асинхронного счётчика, описанного на VHDL.

9

Рисунок 2.6 - Результат моделирования (Timing)

Рисунок 2.7 - Результат моделирования (Functional)

Результат моделирования совпадает со значениями из таблицы истинности, представленной в таблице 2.1.

3. РЕГИСТР ТИПА SIPO

3.1Таблица истинности

Втаблице 3.1 представлена таблица истинности регистра PIPO.

10