Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

2 Семестр / Отчеты / 12 варик / От_ЛР6_ЭиС_данные_удалены

.pdf
Скачиваний:
9
Добавлен:
07.06.2022
Размер:
524.31 Кб
Скачать

Таблица 3.1 – Таблица истинности

№ Сигнала

 

1

2

3

4

 

D13

a13

b13

x

x

 

D12

a12

b12

x

x

 

D11

a11

b11

x

x

 

D10

a10

b10

x

x

 

D9

a9

b9

x

x

 

D8

a8

b8

x

x

 

D7

a7

b7

x

x

 

D6

a6

b6

x

x

 

D5

a5

b5

x

x

 

D4

a4

b4

x

x

 

D3

a3

b3

x

x

 

D2

a2

b2

x

x

 

D1

a1

b1

x

x

 

D0

a0

b0

x

x

Входы

R

0

0

0

1

Load

0

0

1

0

 

 

P13

x

x

c13

x

 

P12

x

x

c12

x

 

P11

x

x

c11

x

 

P10

x

x

c10

x

 

P9

x

x

c9

x

 

P8

x

x

c8

x

 

P7

x

x

c7

x

 

P6

x

x

c6

x

 

P5

x

x

c5

x

 

P4

x

x

c4

x

 

P3

x

x

c3

x

 

P2

x

x

c2

x

 

P1

x

x

c1

x

 

P0

x

x

c0

x

11

Продолжение таблицы 3.1

 

Q13

a13

b13

c13

0

 

Q12

a12

b12

c12

0

 

Q11

a11

b11

c11

0

 

Q10

a10

b10

c10

0

 

Q9

a9

b9

c9

0

 

Q8

a8

b8

c8

0

Выходы

Q7

a7

b7

c7

0

Q6

a6

b6

c6

0

 

 

Q5

a5

b5

c5

0

 

Q4

a4

b4

c4

0

 

Q3

a3

b3

c3

0

 

Q2

a2

b2

c2

0

 

Q1

al

b1

c1

0

 

Q0

a0

b0

c0

0

3.2Функциональная схема

На рисунке 3.1 представлена функциональная схема.

Рисунок 3.1 - Схема регистра PIPO

3.2Моделирование функциональной схемы

На рисунках 3.2–3.3 представлены результат моделирования в двух

режимах.

12

Рисунок 3.2 - Результат моделирования (Timing)

Рисунок 3.3 - Результат моделирования (Functional)

Результат моделирования совпадает со значениями из таблицы истинности, представленной в таблице 3.1.

3.3Код на HDL

На рисунке 3.4 представлен код описания на VHDL.

13

Рисунок 3.4 – Код описания устройства на VHDL

3.4Схема из RTL viewer для кода HDL

На рисунке 3.5 представлена схема из RTL Viewer для кода,

написанном на VHDL

14

R

 

temp~[13..0]

 

 

 

 

 

 

 

 

SEL

temp[13]

D[13..0]

 

 

 

 

DATAA

PRE

 

a (GND)

14' h0000 --

OUT0

D

Q

DATAB

 

 

 

 

 

ENA

 

 

 

MUX21

ADATA

 

 

 

 

ALOAD

 

 

 

 

CLR

 

 

 

 

temp[12]

 

 

 

PRE

 

 

 

 

D

Q

 

 

 

ENA

 

 

 

 

ADATA

 

 

 

 

ALOAD

 

 

 

 

CLR

 

 

 

 

temp[11]

 

 

 

PRE

 

 

 

 

D

Q

 

 

 

ENA

 

 

 

 

ADATA

 

 

 

 

ALOAD

 

 

 

 

CLR

 

 

 

 

temp[10]

 

 

 

PRE

 

 

 

 

D

Q

 

 

 

ENA

 

 

 

 

ADATA

 

 

 

 

ALOAD

 

 

 

 

CLR

 

 

 

 

temp[9]

 

 

 

PRE

 

 

 

 

D

Q

 

 

 

ENA

 

 

 

 

ADATA

 

 

 

 

ALOAD

 

 

 

 

CLR

 

 

 

 

temp[8]

 

 

 

PRE

 

 

 

 

D

Q

 

 

 

ENA

 

 

 

 

ADATA

 

 

 

 

ALOAD

 

 

 

 

CLR

 

 

 

 

temp[7]

 

 

 

PRE

 

 

 

 

D

Q

 

 

 

ENA

 

 

 

 

ADATA

 

 

 

 

ALOAD

 

 

 

 

CLR

 

 

 

 

temp[6]

 

 

 

PRE

Q[13..0]

 

 

 

D

Q

 

 

 

ENA

 

 

 

 

ADATA

 

 

 

 

ALOAD

 

 

 

 

CLR

 

 

 

 

temp[5]

 

 

 

PRE

 

 

 

 

D

Q

 

 

 

ENA

 

 

 

 

ADATA

 

 

 

 

ALOAD

 

 

 

 

CLR

 

 

 

 

temp[4]

 

 

 

PRE

 

 

 

 

D

Q

 

 

 

ENA

 

 

 

 

ADATA

 

 

 

 

ALOAD

 

 

 

 

CLR

 

 

 

 

temp[3]

 

 

 

PRE

 

 

 

 

D

Q

 

 

 

ENA

 

 

 

 

ADATA

 

 

 

 

ALOAD

 

 

 

 

CLR

 

 

 

 

temp[2]

 

 

 

PRE

 

 

 

 

D

Q

 

 

 

ENA

 

 

 

 

ADATA

 

 

 

 

ALOAD

 

 

 

 

CLR

 

 

 

 

temp[1]

 

 

 

PRE

 

 

 

 

D

Q

C

 

 

 

 

 

 

 

ENA

 

P[13..0]

 

 

ADATA

 

Load

 

 

ALOAD

 

 

 

 

CLR

 

 

 

 

temp[0]

 

 

 

PRE

 

 

 

 

D

Q

 

 

 

ENA

 

 

 

 

ADATA

 

 

 

 

ALOAD

 

 

 

 

CLR

 

Рисунок 3.5 – Схема из RTL viewer

15

3.5Моделирование устройства, описанного кодом HDL

На рисунках 3.6–3.7 представлены результаты моделирования асинхронного счётчика, описанного на VHDL.

Рисунок 3.6 - Результат моделирования (Timing)

Рисунок 3.7 - Результат моделирования (Functional)

Результат моделирования совпадает со значениями из таблицы истинности, представленной в таблице 3.1.

16

ВЫВОДЫ

Входе данной работы были изучены последовательные,

универсальные и параллельные регистры, их принципы построения и работы.

Были составлены таблицы истинности для данных устройств согласно варианту.

Были собраны на холстах .bdf и промоделированы в двух режимах

(timing и functional) схемы регистров.

С помощью VHDL были описаны а также промоделированы те же устройства.

Результаты моделирования каждой схемы соответствует её таблице истинности.

17