Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

LR-3

.docx
Скачиваний:
5
Добавлен:
04.11.2020
Размер:
128.49 Кб
Скачать

МИНОБРНАУКИ РОССИИ

Санкт-Петербургский государственный

электротехнический университет

«ЛЭТИ» им. В.И. Ульянова (Ленина)

Кафедра САПР

отчет

По лабораторной работе № 3

по дисциплине «Схемотехника»

Тема: Память ОЗУ/ПЗУ (RAM/ROM)

Студенты гр. 8363

Павкина А.

Соболевская А.А.

Нерсисян А.С.

Преподаватель

Фахми С.Ш.

Санкт-Петербург

2020

ТЕХНИЧЕСКОЕ ЗАДАНИЕ на ЛАБОРАТОРНУю работу

Тема работы : Память ОЗУ/ПЗУ (RAM/ROM)

Исходные данные:

Проектировать схему регистра памяти в среде САПР - Active HDL (Aldec), разработать VHDL код схемы.

Содержание пояснительной записки:

Теоритическая часть, описание схемы, схема требуемого модуля, структурная схема, функциональная схема, таблица истинности, практическая часть, разработка VHDL кода схемы, описание процесса проектирования схемы в среде САПР-Active HDL (Aldec), разработка временных диаграмм схем (ти в виде графика), разработка схемы подключения, выводу по работе, список использыванных источников.

Результаты

Рисунок 1 – Временная диаграмма

Рисунок 2 – Таблица истинности

Разработка кода схемы

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.numeric_std.all;

entity ram is

port (clk : in std_logic;

Wn_R : in std_logic;

CSn : in std_logic;

addr : in std_logic_vector(4 downto 0);

Di : in std_logic_vector(3 downto 0);

Do : out std_logic_vector(3 downto 0));

end ram;

architecture ram of ram is

type ram_type is array (31 downto 0) of std_logic_vector (3 downto 0);

signal RAM : ram_type;

begin

process (clk, CSn)

begin

if CSn = '0' then

if (clk'event and clk = '1') then

if (Wn_R = '0') then

RAM(to_integer(unsigned(addr))) <= Di;

Do <= "ZZZZ";

else Do <= RAM(to_integer(unsigned(addr)));

end if;

end if;

else

Do <= "ZZZZ";

end if;

end process;

end ram;

Используемая литература

  1. Математическое и программное обеспечение устройств с программируемой логикой. 2019 г. Фахми, Соколов

  2. https://www.kit-e.ru/articles/circuit/2009_03_134.php - лекции по схемотехнике

  3. https://go-radio.ru/registr.html - теоретические сведения про сдвигающие регистры

Соседние файлы в предмете Схемотехника