Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
derzh.docx
Скачиваний:
8
Добавлен:
17.09.2019
Размер:
10.8 Mб
Скачать

51. Модель Гумеля-Пуна біполярного транзистора

Еквівалентна схема біполярного транзистора, яка відповідає моделі Гумеля-Пуна, наведена на рис. 1.

Р ис.1.

Враховано три ефекти другого порядку: 1) ефект Ерлі, тобто вплив розширення області просторового заряду на струм зв’язку між колектором та емітером (рис. 2);

Рис. 2 Рис. 3

2) рекомбінацію в області об’ємного заряду емітерного переходу за малих напруг емітер-база; 3) зменшення коефіцієнту підсилення струму за великих струмів (рис. 3).

У моделі враховано об’ємні опори бази, колектора та емітера, причому опір бази може залежати від базового струму. Ефекти накопичення заряду описуються часом переносу заряду у нормальному та інверсному режимах, причому час переносу заряду у нормальному режимі може залежати від режиму роботи.

Температурна залежність струму насичення визначена шириною забороненої зони матеріалу і температурним коефіцієнтом струму насичення. Враховано температурну залежність коефіцієнта підсилення транзистора в нормальному та інверсному режимах, можлива нелінійність об’ємного опору бази.

Ємності переходу транзистора описуються аналогічно ємності переходу діода. Вона має 2 складові – дифузійну та бар’єрну. Ємність переходу база-колектор розщеплена на дві складові – ємність між внутрішньою базою Сbc та ємність між зовнішнім виводом бази та колектором Сbx

У моделі враховано залежність всіх основних параметрів транзистора(коефіцієнти підсилення у прямому та інверсному режимах, струми насичення, контактні різниці потенціалів, бар’єрні ємності та ширина забороненої зони матеріалу) від температури.

Розраховується спектральна густина дробового та фліктер шуму p-n-переходів і теплового шуму об’ємних опорів колектора бази та емітера.

При розрахунках амплітудно-частотної та перехідної характеристик враховується затримка проходження сигналу через транзистор. Це зроблено шляхом введення додаткового фазового зсуву, величина якого лінійно залежить від частоти.

52. Інерційна та транспортна затримки в мові vhdl

По простому:

Два типи моделей затримок поширення сигналів - інерційна і транспортна. Важливими для опису роботи реальних схем є введені в VHDL оператори затримки перемикання сигналів:

- інерційна затримка (за замовчуванням , тому ключове слово inertial є необов'язковим);

- транспортна затримка (супроводжується ключовим словом TRANSPORT).

Інерційна модель затримки дозволяє перемикання вихідного сигналу Y лише за умови, що тривалість вхідних сигналів не менше часу затримки, заданого після слова AFTER, тобто інерційна затримка імітує стійкість схеми від високочастотного "​​дрєбєзгу" на її вході (в наступному прикладі тривалістю менше 3 нс):

Y <= not X1 and X2 AFTER 3 ns;

Транспортна модель відповідає "чистій" затримці розповсюдження: передається будь-який імпульс, яким би коротким він не був. Так, оператор

Y <= TRANSPORT not X1 and X2 AFTER 15 ns; передає на Y з затримкою в 15 нс значення, що відповідає логічному виразу, незалежно від частоти зміни цих значень.

В книжці Барабанова (багато прикладів, константи бажано змінювати):

Спочатку невеликий вступ:

Присвоювання сигналу. Оператор присвоювання сигналу планує одну чи більшу кількість трансакцій сигналу (порту).

оператор_присвоюваня_сигналу::= адресат <= [transport] форма_сигналу;

адресат::=ім’я | агрегат

форма_сигналу ::=елемент_форми_сигналу {, елемент_форми_сигналу }

елемент_форми_сигналу::= вираз_значення_сигналу [ after вираз_часу ] | null [ after вираз_часу]

Адресат має бути сигналом агрегатом сигналів ( як і при присвоюванні значення змінній). Якщо вираз часу для затримки опущено, то за замовчанням використовується величина 0. Це означає, що трансакція буде намічена на той самий час, коли виконується оператор присвоювання, але буде виконана протягом наступного циклу моделювання (з дельта-затримою).

Кожен процес, в якому є оператор присвоювання сигналу, створює один драйвер цього сигналу (навіть якщо у процесі стоять кілька операторів присвоювання значення), який містить список трансакцій, що визначають майбутні значення сигналу. Зауважимо, що й у випадку, коли оператор присвоювання фактично не виконується, відповідно драйвер все одно буде створено. У більшості випадків сигнал повинен мати тільки один драйвер, тобто тільки один процес має ним керувати. Однак у мові VHDL сигналу дозволяється мати й кілька драйверів, але в цьому випадку тип такого сигналу має дозволяти розв’язання конфліктів.

Оператор присвоювання сигналу додає інформацію про трансакцію, яка планується до драйвера. Наприклад, оператор присвоювання сигналу:

s<=’0’ after 20 ns;

планує присвоювання сигналу s значення ‘0’ через 20 ns після виконання цього оператора. Якщо вищезгаданий оператор виконувався у момент часу 10 ns, то трансакцію буде заплановано на момент 30 ns. Коли час моделювання сягає 30 ns, ця трансакція буде оброблена, і сигнал буде присвоєно заплановане значення, у цьому прикладі ‘0’.

Припустимо, у момент часу 32 ns виконується оператор:

s<= ‘1’ after 8 ns, ‘0’ after 40 ns;

До драйвера буде додано дві нові трансакції: у момент 40 ns сигнал має набути значення ‘1’, а в момент 72 ns – значення ‘0’. Слід зазначити, що, коли кілька трансакцій перелічені в операторі присвоювання сигналу, затримки які їм відповідають, мають зростати.

Якщо виконується оператор присвоювання сигналу, а в драйвері існують раніше заплановані трансакції, то деякі з них можуть бути вилучені. Як це робиться залежить від того, чи використане слово transport в операторі нового присвоювання. Якщо це слово є, кажуть, що використовується транспортна затримка (transport delay). У цьому випадку всі трансакції, заплановані до виконання оператора з транспортною затримкою на час після його першої трансакції, вилучаються, і після цього додаються трансакції, які відповідають оператору, що виконується. Тобто нові трансакції пригнічують старі. Продовжуючи приклад, розглянемо випадок, коли в момент 36 ns виконується оператор

s<= transport ‘Z’ after 20 ns;

Тоді трансакція, запланована на час 72 ns, буде вилучена і драйвер міститиме інформацію про дві заплановані трансакції: при 40ns – ‘1’, при 56 ns – ‘Z’.

Інший вид затримки, інерційна затримка (inertial delay), використовується для моделювання пристроїв, які не реагують на вхідні імпульси коротші, ніж їхні затримки. Якщо слово transport в операторі присвоювання сигналу відсутнє, буде використано саме інерційну затримку. Коли інформація про трансакцію з інерційною затримкою додається до драйвера сигналу, то: по-перше, як і у випадку транспортної затримки, усі старі трансакції, заплановані на час після нової трансакції, вилучаються, і додається нова трансакція; по-друге досліджуються всі старі, трансакції зі значеннями, що відрізняються від значення нової трансакції. Якщо є старі трансакції зі значеннями, що відрізняються від значення нової трансакції, то всі вони також вилучаються. Залишаються лише старі трансакції з тим самим значенням, що й нова.

Для пояснення припустимо, що у момент часу 0 ns було створено драйвер сигналу із таким змістом: 30ns – ‘1’, 45ns – ‘0’, 60ns – ‘1’, 90ns – ‘Z’, а у момент 10 ns виконується оператор

s<= ‘1’ after 60 ns;

Після виконання оператора у драйвері сигналу s міститиметься інформація про дві трансакції: 60 ns – ‘1’, 70 ns – ‘1’.

Коли кілька трансакцій перелічено в операторі присвоювання сигналу з інерційною затримкою, то тільки перша трансакція має інерційну затримку; інші – транспортну затримку.

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]