- •Панов, В.А.
- •2.1.2. Лексические элементы языка
- •2.1.2.1. Используемые символы
- •2.1.2.2. Идентификаторы
- •2.1.2.З. Комментарий
- •2.1.2.4. Литералы
- •2.1.3. Модели данных
- •2.1.З.1. Скалярные типы
- •2.1.З.2. Целый тип
- •2.1.З.З. Тип с плавающей точкой
- •2.1.З.4. Перечислительные типы
- •2.1.З.5. Физические типы
- •2.1.4. Операции
- •2.1.5. Операторы управления
- •2.1.6. Пакеты
- •3.2.2. Верификация
- •3.3.2. Стратегии проектирования
- •4.2.1.5. Декодер Меггита для укороченного кода
- •4.2.2. Групповые систематические коды (ГСК)
- •4.2.2.1. Построение кодеров ГСК
- •6.2.1. Декодер помехоустойчивого кода (канальный декодер)
- •6.2.2. Оборудование группообразования приемника
- •6.2.3. Тестирование приемника
- •6.3. Модель цифрового канала связи с помехой
- •7.1.7. Тестирование КПД
- •7.2.1. Расчет основных параметров
- •7.2.2. Проектирование передатчика
- •7.2.4. Формирователь КИ
- •7.2.5. Шифратор единичного кода в двоичный
- •7.2.6. Мультиплексор
- •7.2.9. Проектирование приемника
- •7.2.10. Тестирование приемника
- •7.2.12. Тестирование КПД
- •8. ВАРИАНТЫ ЗАДАНИЙ ДЛЯ КУРСОВОГО ПРОЕКТИРОВАНИЯ
- •9. СОДЕРЖАНИЕ ОТЧЕТА
- •СПИСОК ЛИТЕРАТУРЫ
- •2. Проектирование графического проекта на основе созданных на VHDL устройств
- •2. Описание на языке VHDL счетчика импульсов (до 19) передатчика
- •1. Описание на языке VHDL шифратора единичного кода в двоичный (длина единичного кода = 7)
- •2. Описание на языке VHDL шифратора единичного кода в двоичный (длина единичного кода = 10)
- •Описание на языке VHDL дешифратора двоичного кода в единичный
- •2. Описание на языке VHDL мультиплексора 10x1
- •2. Описание на языке VHDL последовательной реализации кодера ГСК (11,7,3)
- •Описание на языке VHDL устройства управления кодером ЦСК по g(x)
- •Описание на языке VHDL кодера ЦСК по h{x)
- •2. Описание на языке VHDL последовательной реализации декодера ГСК (11,7,3)
- •Описание на языке VHDL устройств управления декодером неукороченного кода ЦСК
- •Описание на языке VHDL устройств управления декодером укороченного кода ЦСК
- •2. Описание на языке VHDL демультиплексора 1x10
- •Описание на языке VHDL устройства, моделирующего ЦКС с помехой
- •1. Временная диаграмма моделирования работы КПД (код ЦСК)
- •2. Временная диаграмма моделирования работы КПД (код ГСК)
- •Оглавление
Описание на языке VHDL устройств управления декодером укороченного кода ЦСК
— controll library ieee;
use ieee.std_logic_1164.all;
entity decoder_controll is port (
d:in integer range 0 to 2*13-1;
q:out std_logic
) ;
end decoder_controll;
architecture behaviour of decoder_controll is begin
q <= 'O' when d<13 else 11*; end behaviour;
— control2 library ieee;
use ieee.std_logic_1164.all;
entity decoder__control2 is port (
d:in integer range 0 to 2*13-1;
q: out std_logic
) ;
end decoder_control2;
architecture behaviour of decoder_control2 is begin
q <= *1' when d=0 else 'O’; end behaviour;
library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_arith.all;
entity dmx is port (
d:in std_logic;
a:in integer range 0 to 6; — адресные входы
q: out std_logic_vector(6 downto 0) — выходные шины
) ; end dmx;
architecture behaviour of dmx is
begin
m:
for i in 0 to 6 generate
q(i) <= d when i=a else 'O'; end generate;
end behaviour;
2. Описание на языке VHDL демультиплексора 1x10
library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_arith.all;
entity dmx is port (
d:in std_logic;
a:in integer range 0 to 9; — адрес
q: out std_logic_vector(9 downto 0) — выход
); end dmx;
architecture behaviour of dmx is begin
m:
for i in 0 to 9 generate
q(i) <= d when i=a else 'O'; end generate;
end behaviour;
Описание на языке VHDL устройства, моделирующего ЦКС с помехой
LIBRARY ieee;
use ieee.std_logic_1164.all;
entity channel is port (
i,e: in std_logic;
o:out std_logic
); end channel;
architecture behaviour of channel is begin
о <= i xor e; end behaviour;
1. Временная диаграмма моделирования работы КПД (код ЦСК)
-preset |
|
|
|
|
|
|
|
elk |
|
|
|
|
|
|
|
C^TS[B..O] |
J T |
0000001 |
X |
0000010 ..... . |
1 |
0000100 |
|
SJ>A[2..0! |
к |
|
0 |
X |
1 |
l |
2 |
aL£-Tx2 |
J |
1 Г |
|
|
|
ш |
л л _ _ |
Si*-Tx1 |
|
|
|
u r n n ___________________________________. |
|||
E^TxO |
|
|
|
|
|
|
|
control |
1 |
|
|
|
|
|
|
-±&v |
|
П П Л П П П |
п п л л г и |
|
г и и ш л |
||
Ly-e |
Л |
|
|
Л Л |
|
|
|
ve |
M l r m |
j i r u i |
1 1 I T U I T |
■ |
г ш ш л |
||
contrail |
|
|
|
|
|
|
|
controlJ |
l |
|
|
|
|
|
|
delete |
|
|
|
|
|
|
|
-j? Rx2 |
___________________________________________________________________f ir m n |
||||||
-ii>Rx1 |
r |
|
|
|
~ 1 T |
■ |
|
1 |
|
|
|
|
|||
-L>RxQ |
i1--- |
J lT |
L iin iL |
|
|
|