
- •Панов, В.А.
- •2.1.2. Лексические элементы языка
- •2.1.2.1. Используемые символы
- •2.1.2.2. Идентификаторы
- •2.1.2.З. Комментарий
- •2.1.2.4. Литералы
- •2.1.3. Модели данных
- •2.1.З.1. Скалярные типы
- •2.1.З.2. Целый тип
- •2.1.З.З. Тип с плавающей точкой
- •2.1.З.4. Перечислительные типы
- •2.1.З.5. Физические типы
- •2.1.4. Операции
- •2.1.5. Операторы управления
- •2.1.6. Пакеты
- •3.2.2. Верификация
- •3.3.2. Стратегии проектирования
- •4.2.1.5. Декодер Меггита для укороченного кода
- •4.2.2. Групповые систематические коды (ГСК)
- •4.2.2.1. Построение кодеров ГСК
- •6.2.1. Декодер помехоустойчивого кода (канальный декодер)
- •6.2.2. Оборудование группообразования приемника
- •6.2.3. Тестирование приемника
- •6.3. Модель цифрового канала связи с помехой
- •7.1.7. Тестирование КПД
- •7.2.1. Расчет основных параметров
- •7.2.2. Проектирование передатчика
- •7.2.4. Формирователь КИ
- •7.2.5. Шифратор единичного кода в двоичный
- •7.2.6. Мультиплексор
- •7.2.9. Проектирование приемника
- •7.2.10. Тестирование приемника
- •7.2.12. Тестирование КПД
- •8. ВАРИАНТЫ ЗАДАНИЙ ДЛЯ КУРСОВОГО ПРОЕКТИРОВАНИЯ
- •9. СОДЕРЖАНИЕ ОТЧЕТА
- •СПИСОК ЛИТЕРАТУРЫ
- •2. Проектирование графического проекта на основе созданных на VHDL устройств
- •2. Описание на языке VHDL счетчика импульсов (до 19) передатчика
- •1. Описание на языке VHDL шифратора единичного кода в двоичный (длина единичного кода = 7)
- •2. Описание на языке VHDL шифратора единичного кода в двоичный (длина единичного кода = 10)
- •Описание на языке VHDL дешифратора двоичного кода в единичный
- •2. Описание на языке VHDL мультиплексора 10x1
- •2. Описание на языке VHDL последовательной реализации кодера ГСК (11,7,3)
- •Описание на языке VHDL устройства управления кодером ЦСК по g(x)
- •Описание на языке VHDL кодера ЦСК по h{x)
- •2. Описание на языке VHDL последовательной реализации декодера ГСК (11,7,3)
- •Описание на языке VHDL устройств управления декодером неукороченного кода ЦСК
- •Описание на языке VHDL устройств управления декодером укороченного кода ЦСК
- •2. Описание на языке VHDL демультиплексора 1x10
- •Описание на языке VHDL устройства, моделирующего ЦКС с помехой
- •1. Временная диаграмма моделирования работы КПД (код ЦСК)
- •2. Временная диаграмма моделирования работы КПД (код ГСК)
- •Оглавление
по
1. Описание на языке VHDL шифратора единичного кода в двоичный (длина единичного кода = 7)
library ieee;
use ieee.std_logic_1164.all;
entity shifr is port (
d:in std_logic_vector(6 downto 0);
q: out integer range 0 to 6
) ; end shifr;
architecture behaviour of shifr is begin
process begin
for j in 0 to 6 loop if d(j)='l' then
q <= j; end if;
end loop; end process;
end behaviour;
2. Описание на языке VHDL шифратора единичного кода в двоичный (длина единичного кода = 10)
library ieee;
use ieee.std_logic_1164.all;
entity shifr is port (
d: in std_logic_vector(9 downto 0); q: out integer range 0 to 9
); end shifr;
architecture behaviour of shifr is begin
process begin
for j in 0 to 9 loop if d (j)= ’1 * then
q <- j; end if;
end loop; end process;
end behaviour;
Описание на языке VHDL дешифратора двоичного кода в единичный
entity deshifr is port (
d:in integer range 0 to 6;
q:out std_logic_vector(6 downto 0)
);
end deshifr;
architecture behaviour of deshifr is begin
process begin
for j in 0 to 6 loop if j = d then
q (j ) <= eI е;
else
q (j ) <= 'O'; end if;
end loop; end process;
end behaviour;
library ieee;
use ieee.std_logic_1164.all; use ieee. std__logic_arith.all;
entity mx is port (
— информационные входы:
d: in std_logic_vector (6 downto 0) ;
— адресные входы:
a:in integer range 0 to 6;
q:out std_logic
) ; end mx;
architecture behaviour of mx is begin
q <= d (a); end behaviour;
2. Описание на языке VHDL мультиплексора 10x1
library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_arith.all;
entity mx is port (
--информационные входы:
d:in std_logic__vector (9 downto 0);
— адресные входы:
a: in integer range 0 to 9; q: out std_logic
); end mx;
architecture behaviour of mx is begin
q <= d(a); end behaviour;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
— описание интерфейса |
кодера: |
|
|
||||||
ENTITY coder_g IS |
|
|
|
|
|
||||
PORT ( |
|
u: |
|
|
|
IN |
STD_LOGIC; |
|
|
elk, |
|
reset: |
|
||||||
control, |
IN |
STD_LOGIC; |
|
||||||
v: |
|
|
|
|
|
OUT |
STD_LOGIC); |
|
|
END coder_g; |
|
|
|
|
|
|
|
||
— поведенческое описание архитектуры кодера: |
|||||||||
ARCHITECTURE behaviour OF coder_g IS |
|
||||||||
— внутренние сигналы: |
|
|
|
||||||
signal |
kl,k2,k: |
std_logic; |
|
||||||
— |
D-триггеры: |
std_logic_vector(0 to |
4); |
||||||
signal |
d: |
|
|||||||
begin |
|
|
|
|
|
|
|
|
|
— ключи |
|
xor |
u; |
|
|
|
|
||
k |
<= |
d (4) |
|
|
|
|
|||
kl |
<= |
k when control='l' else ’O'; |
|
||||||
k2 <= k when control='0' else 'O'; |
|
||||||||
process |
|
(elk,reset) |
|
|
|
||||
begin |
if |
reset='0' |
then |
— асинхронный |
сброс |
||||
|
elsif |
d <= |
"ООООО”; |
|
|
||||
|
falling_edge(elk) then |
|
|||||||
|
|
|
|
— делитель на базе РЛЛОС: |
|
||||
|
|
|
|
d (0) |
<= |
kl; |
|
|
|
|
|
|
|
d(l) |
<= |
d (0); |
kl; |
|
|
|
|
|
|
d (2) |
<= |
d (1) |
xor |
|
|
|
|
|
|
d (3) |
<= |
d (2); |
xor |
kl; |
|
|
|
|
|
d(4) |
<= |
d(3) |
|
—выходной сигнал:
v<= k2 or u;
end if; end process;
end behaviour;