Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
Автоматизация проектирования радиоэлектронных устройств связи..pdf
Скачиваний:
12
Добавлен:
15.11.2022
Размер:
5.53 Mб
Скачать

Федеральное агентство по образованию Государственное образовательное учреждение высшего профессионального образования

«Пермский государственный технический университет»

В.Л. Панов

АВТОМАТИЗАЦИЯ ПРОЕКТИРОВАНИЯ РАДИОЭЛЕКТРОННЫХ УСТРОЙСТВ СВЯЗИ

Рекомендовано УМО по образованию в области телекоммуникаций в качестве учебного пособия для студентов высших учебных заведений,

обучающихся по специальности 200900 p i 0406) - Сети связи и системы коммутации

Издательство Пермского государственного технического университета

2006

УДК 658.5 П16

Рецензенты:

президент Пермского регионального общественного отделения «Западно-Уральская академия информациологии» общественной организации «Международная академия информатизации» академик В.В. Белоусов;

д-р техн. наук, профессор А.В. Частиков (Вятский государственный университет)

Панов, В.А.

П16 Автоматизация проектирования радиоэлектронных устройств связи : учеб, пособие / В.А. Панов. - Пермь: Изд-во Перм. гос. техн. ун-та, 2006. - 133 с.

ISBN 5-88151-567-6

Рассмотрены вопросы автоматизированного проектирования радиоэлектронных устройств связи, более подробно рассмотрен процесс проектирования такого устройства связи, как канал передачи данных. Приведены общие сведения о САПР радиоэлектронных устройств связи, основы языка VHDL, этапы автоматизированного проектирования устройств связи на БИС программируемой логики.

Предназначено для студентов специальности «Сети связи и системы коммутации», а также для студентов других электротехнических специальностей высших учебных заведений.

УДК 658.5

©ГОУ ВПО «Пермский государственный

технический университет», 2006

ВВЕДЕНИЕ

В настоящее время деятельность инженера немыслима без примене­ ния систем автоматизированного проектирования (САПР). В области ра­ диоэлектроники САПР помогают автоматизировать такие процедуры про­ ектирования, как функционально-логическое и схемотехническое модели­ рование, проектирование печатных плат, изготовление конструкторской документации и пр. Время проектирования электронных схем с использо­ ванием САПР сокращается в десятки раз по сравнению с ручным проекти­ рованием.

Проектирование современных радиоэлектронных средств (РЭС) свя­ зи также немыслимо без применения САПР. САПР в настоящее время стремительно развиваются, отражая развитие РЭС. Наряду с развитием традиционных направлений сквозного проектирования РЭА, предостав­ ляющих разработчику самый широкий набор функций и средств (пакеты ORCAD, P-CAD), наблюдается специализация САПР: появляются все но­ вые комплексы аппаратно-программных средств, предназначенные для проектирования изделий конкретной фирмы-производителя, от ПЛИС фирмы Altera и FPGA фирмы ХШпх до заказных функциональных микро­ схем (ASIC) и систем на кристалле (SOC). В последние годы появились и бурно развиваются САПР систем связи (System View) и устройств СВЧ (Microsoft Office, Serenade). Широкие возможности для моделирования представляет пакет MatLab.

Современная САПР связи - это среда проектирования аналоговых и цифровых устройств, устройств смешанного типа, включающая в себя большое количество разнообразных модулей и инструментов. Современ­ ная САПР связи позволяет проводить сквозное проектирование и модели­ рование различных устройств и систем связи в диапазоне ВЧ/СВЧ на сис­ темном, схемотехническом, топологическом или электромагнитном уров­ не. Современная САПР связи обладает уникальными возможностями под­ ключения к измерительному оборудованию для эмуляции сигналов или получения модели устройств с целью использования для дальнейшего мо­ делирования.

В учебную программу студентов специальности «Телекоммуника­ ции» входят дисциплины «Теория САПР» и «Автоматизация проектирова­ ния РЭУ связи». Цель этих учебных дисциплин - ознакомить студента с принципами организации, теорией и практикой применения САПР, нау­ чить пользоваться при разработке радиоэлектронных устройств связи со­ временными системами автоматизированного проектирования.

Одним из этапов изучения дисциплины «Автоматизация проектиро­ вания РЭУ связи» является выполнение курсового проекта. В качестве объ­ екта проектирования в данном курсовом проекте используется канал пере­ дачи данных. При выполнении курсового проекта студенты получают

возможность на практике изучить передовые подходы, применяемые в процессе проектирования радиоаппаратуры, что, безусловно, важно для выпускников, специализирующихся в области проектирования, производ­ ства и эксплуатации современных радиотехнических и телекоммуникаци­ онных систем. Кроме того, применение САПР поможет лучше понять ос­ новные принципы работы радиоэлектронных устройств и систем, что важ­ но для всех студентов, в том числе и для тех, кто специализируется и в других областях науки и техники.

В данном учебном пособии подробно рассмотрен процесс проекти­ рования канала передачи данных с помощью САПР MAX+PLUS II. При­ ведены основные теоретические сведения, методика проектирования РЭС связи с помощью САПР, дан пример выполнения курсового проекта.

1. ОБЗОР САПР РАДИОЭЛЕКТРОННЫХ УСТРОЙСТВ СВЯЗИ

На сегодняшний день существует обширный класс САПР радиоэлек­ тронной аппаратуры связи, представленный как специализированными па­ кетами, позволяющими проектировать РЭУ с использованием элементной базы конкретного производителя, так и сравнительно универсальными ин­ струментами проектирования, поддерживающими продукцию различных производителей.

1.1. Elanix SystemView

САПР SystemView, предлагаемые компанией Elanix, используются специалистами, занимающимися разработкой и моделированием аналого­ вых, цифровых и смешанных модулей телекоммуникационных систем. Па­ кет SystemView представляет собой мощную интегрированную среду про­ ектирования с почти неограниченными возможностями построения моде­ лей широкополосных систем связи, использующих сложные цифровые сигналы, например QAM64. SystemView позволяет анализировать их пове­ дение в различной помеховой и шумовой обстановке, проектировать сверхбыстродействующие цифровые сигнальные процессоры (DSP) с ко­ нечной реализацией на программируемых вентильных матрицах (FPGA), отрабатывать алгоритмы работы цифровых адаптивных фильтров.

Пользовательский интерфейс программы прост в обращении и ин­ туитивно понятен. Основу пакета составляет базовый модуль SystemView Professional Edition, к которому подключаются различные специализиро­ ванные библиотеки, обеспечивающие проектировщиков моделями почти всех необходимых функциональных блоков. В случае если уже имеющаяся модель по каким-либо соображениям не устраивает разработчика, у него есть возможность создать собственную пользовательскую модель, опи­ рающуюся на оптимальные с его точки зрения математические выкладки.

Набор имеющихся библиотек достаточно обширный:

-Communications Library содержит около 40 различных моделей кана­ лов, кодеров и декодеров, модуляторов и демодуляторов;

-DSP Library содержит все необходимое для моделирования цифро­ вых сигнальных процессоров, имеет прототипы для реализации на микросхемах FPGA;

-RF/Analog Library включает в себя более 40 моделей различных ра­ диотехнических устройств, используемых для моделирования трак­ тов аналоговой обработки сигналов;

-Logic Library содержит все необходимое для построения и отладки цифровых логических схем;

-CDMA/PCS Library включает в себя модели устройств, используе­ мых в современных системах персональной связи, в том числе и с кодовым разделением каналов;

-Digital Video Broadcasting (DVB) Library объединяет модели функ­ циональных блоков, используемых в аппаратуре цифрового телеви­ зионного вещания;

-EnTegra Adaptive Filter Library содержит модели устройств, исполь­

зуемых при построении адаптивных фильтров.

Пакет содержит ряд опций, позволяющих выполнять специфические задачи проектирования. Например, возможна связь системы моделирова­ ния с программным обеспечением компании Xilinx, позволяющим про­ граммировать ПЛИС (FPGA), или с мощным пакетом MatLab, обеспечи­ вающим необходимую математическую поддержку. Кроме того, возможна генерация исполняемого кода для операционных систем Windows 95/98 и NT, что позволяет промоделированную и отлаженную систему обработки, например цифрового сигнала, превратить в исполняемую программу, тре­ бующую только входных параметров и данных.

1.2. Xilinx Foundation Series

Фирма Xilinx - производитель широкой номенклатуры ПЛИС, по­ ставляет САПР для разработки цифровых устройств, в том числе и радио­ электронных устройств связи, на базе собственной продукции. САПР Xil­ inx Foundation Series поддерживает все серии новых кристаллов фирмы. В новых версиях программного обеспечения (ПО) поддерживаются расши­ ренные библиотеки отлаженных и готовых к использованию блоков-ядер (Intellectual Property Cores), которые становятся очень важными при созда­ нии проектов больших размеров, сокращают сроки и упрощают проекти­ рование. Продолжаются работы по созданию средств автоматического формирования проработанных функций. Фирмой Xilinx совместно с фир­ мой Elanix разработан новый подход к проектированию цифровых сиг­ нальных процессоров (DSP). На основе комбинации САПР системного уровня SystemView фирмы Elanix и САПР фирмы Xilinx разработан мар­ шрут проектирования и изготовления DSP.

Типовой состав САПР Foundation Series Express следующий:

Foundation Project Manager. Это средство администрирования (управления) проектами в САПР. Из этой программы доступны все средства ввода, реализации, анализа и синтеза, а также отчеты о про­ ектах.

Средства ввода описаний. Программное обеспечение формирует описания в формате EDIF (в более ранних версиях использовался

формат XNF). В Xilinx Foundation Series Express имеются следующие подсистемы ввода описаний:

LogiBLOX. Данная программа позволяет, задавая параметры требуе­ мой структуры устройства (ПЗУ, ОЗУ, счетчики и т.д.), получать го­ товые топологические фрагменты, которые могут использоваться как в схемных, так и в HDL-проектах;

редактор HDL-кода HDL Editor. Позволяет осуществлять ввод про­ ектов на одном из языков HDL. Программа формирует список цепей netlist в формате EDIF (программа XVHDL). Поддерживает иерархи­ ческое представление проекта;

схемотехнический редактор Schematic Editor. Позволяет на основе библиотек САПР вводить принципиальную схему проекта в инте­ рактивном режиме. Позволяет также включать LogiBLOX-, FSM-, VHDL- и Verilog-модули;

редактор диаграмм состояний (конечного автомата) State Editor. По­ зволяет вводить описание проекта в виде диаграмм состояний ко­ нечного автомата в графическом виде. В результате формирует по­ веденческое VHDL-описание.

Средства моделирования схем:

программа функционально-логического моделирования Founda-tion Logic Simulator. Позволяет осуществлять функциональное моделиро­ вание проекта, а также временное моделирование с учетом задержек распространения сигналов по кристаллу. Результаты моделирования с учетом задержек полностью имитируют реальную картину работы ПЛИС, что практически исключает необходимость отладки кристал­ ла на плате;

графический редактор директив оптимизации Constraints Editor. По­ зволяет устанавливать и изменять директивы пользователя при оп­ тимизации временных параметров проекта;

программа VHDL синтеза FPGA Express. Выполняет синтез проекта на основе VHDL-кода;

программа моделирования на основе VHDL - VHDL Simulator. HDLмоделирование помогает верифицировать проект еще на стадии про­ ектирования;

программа временного анализа Timing Analyzer. Выполняет точный расчет задержек по результатам размещения проекта в кристалле. Средства трассировки и программирования:

трассировщик Flow Engine представляет собой набор трансляторов и программ, осуществляющих в автоматическом режиме преобразова­ ние выходного формата схемотехнического редактора во внутренний формат описания ПЛИС Xilinx;

топологический редактор Floorplanner. Позволяет дополнительно оп­ тимизировать временные задержки путем переразмещения проекта в

кристалле. С помощью данного средства можно менять положение CLB в общей структуре проекта на кристалле;

-топологический редактор EPIC. Позволяет осуществить просмотр топологии проекта после трассировки и при необходимости выпол­ нить ручную трассировку выбранных цепей;

-утилита загрузки конфигурации ПЛИС непосредственно из PC Hardware Debugger. Загрузка осуществляется с помощью специаль­ ного кабеля. Кроме того, с помощью этой утилиты выполняется ве­ рификация ПЛИС через порт JTAG;

-утилита для работы с программатором PROM File Formater.

1.3. Advanced Design System

Данная САПР предназначена для сквозного проектирования и моде­ лирования широкого класса устройств и систем связи в диапазоне ВЧ/СВЧ, включающих в себя следующие уровни: функциональный, схемотехниче­ ский, топологический, электромагнитный.

Классы проектируемых устройств: аналоговые, цифровые, сме­ шанные.

Отличительные особенности системы:

-эффективный метод гармонического баланса, ориентированный на моделирование радиочастотных интегральных схем большой раз­ мерности;

-использование алгоритма расчета установившегося режима по оги­ бающей модулирующего сигнала (Circuit Envelope) для эффективно­ го анализа трактов с дискретно модулированными сигналами.

Проектирование цифровых устройств обеспечивается с помощью подсистемы DSP Designer. Основными возможностями подсистемы явля­ ются:

-проектирование различных классов цифровых устройств;

-использование более 900 поведенческих моделей;

-совместное моделирование с аналоговыми ВЧ устройствами;

-создание пользователем собственных моделей и включение их в библиотеки элементов;

-тестирование;

-получение HDL описания по исходной схеме;

-проектирование цифровых фильтров;

-использование цифровых моделей ПЛИС таких фирм, как Altera и Xilinx.

Проектирование аналоговых устройств обеспечивается с помощью подсистемы RFIC Designer, основными особенностями которой являются:

-проектирование различных классов аналоговых устройств (смесите­ ли, усилители, фильтры, ФАПЧ и т.д.);

-использование различных методов моделирования (гармонический баланс, анализ по постоянному и переменному току, анализ 5-пара-

метров, анализ методом Circuit Envelope и т.д.).

Проектирование и моделирование ВЧ плат осуществляется с помо­ щью подсистемы RF Board. Основные особенности:

-модели таких устройств встроены в схемный и топологический ре­ дакторы;

-возможность создания таких компонентов пользователем;

-анализ паразитных емкостей и сопротивлений, встроенных в элек­ тромагнитный симулятор;

-совместимость с устройствами, созданными фирмами Cadence и Mentor.

1.4. Aldec Active-HDL

Система проектирования Active-HDL является сегодня ведущей сре­ дой проектирования для создания проектов и их моделирования для всех семейств программируемых интегральных схем (ПЛИС), в том числе ис­ пользуемых для проектирования устройств связи, обеспечивая гибкость подхода и предоставляя развитые функции поддержки наиболее сложных современных проектов. Среда проектирования Active-HDL дает разработ­ чикам независимость в использовании других средств проектирования из единого, полностью интегрированного окружения. Тесная интеграция всех приложений обеспечивает абсолютный контроль над проектом от специ­ фикации на всем пути к его физической реализации. Active-HDL также имеет интерфейсы со всеми ведущими программными продуктами, пре­ доставляя разработчикам свободу в использовании тех средств проектиро­ вания, которые наиболее полно отвечают требованиям каждого конкретно­ го проекта.

Система Active-HDL структурно построена вокруг своего блока управления маршрутом проектирования, который позволяет пользователям легко получать доступ к средствам проектирования и библиотекам, кото­ рые они используют в проекте. Active-HDL также поставляется со всеми библиотеками производителей ПЛИС, которые уже перекомпилированы и готовы к использованию в проектах. Блок управления проектом ActiveHDL позволяет разработчику выполнять все модификации и операции над проектом из единого окружения; такая интеграция дает лучший контроль над процессом проектирования и сберегает время, устраняя необходимость в запуске множества оконных интерфейсов и процессов.

Расширенный маршрут проектирования. Маршрут проектирования компании Aldec не зависит от производителя ПЛИС и настраивается на любые комбинации средств логического синтеза и топологической реали­ зации ПЛИС. Разработчик цифровых систем может делать проекты на ПЛИС любого производителя на рынке из единой интегрированной среды.

Рабочая среда проектирования. Разработчики могут одновременно открывать несколько проектов и интегрировать их в один суперпроект. Мультипроектная рабочая область предоставляет среду проектирования, позволяющую пользователям управлять всеми загруженными проектами, переключаться между ними, редактировать их ресурсы и конфигурировать их независимо друг от друга. Все модули могут разрабатываться отдельно друг от друга и затем интегрироваться вместе как один проект верхнего уровня.

Групповая разработка. Увеличение размеров проектов вынуждает многих разработчиков ПЛИС использовать методы групповой разработки при проектировании. Active-HDL предоставляет средства повышения про­ изводительности, например такие, как средство управления заданиями для задач, занимающих большое время выполнения, таких как моделирование, логический синтез и топологическая реализация. Каждая задача может быть назначена на удаленный сервер или кластер других компьютеров для выполнения соответствующего задания, таким образом освобождая ком­ пьютер разработчика для выполнения других задач. Система Active-HDL также предоставляет интерфейс к более чем 15 наиболее популярным сис­ темам управления версиями (RCS).

Управление проектом. Система управления проектами обеспечивает быстрое и эффективное управление всеми ресурсами проектов. Разработ­ чики могут использовать ее:

-для добавления, удаления, просмотра, модификации или выполнения других операций над файлами ресурсов проекта;

-просмотра содержимого рабочей библиотеки, библиотеки результа­ тов логического синтеза и библиотеки временных параметров теку­ щего проекта;

-просмотра сконструированной структуры моделируемого проектно­ го модуля;

-просмотра объектов, определенных внутри отдельных областей моделируемого проектного модуля.

Управление библиотеками. Система управления библиотеками пре­ доставляет эффективное управление всеми библиотеками в среде проекти­ рования Active-HDL. Пользователи могут выполнять следующие операции над библиотеками и их содержимым:

-присоединение, отсоединение и удаление библиотек;

-редактирование логических имен библиотек;

-сжатие и освобождение библиотек;

-просмотр содержимого библиотек;

-просмотр исходных файлов определенных библиотечных модулей;

-удаление определенных библиотечных модулей;

-поиск проектных модулей в библиотеках.

Генератор блоков. Разработчики цифровых систем имеют доступ к наиболее полно протестированным и проверенным IP-блокам в промыш­ ленности. Они могут использовать генератор IP-блоков для создания тре­ буемых моделей, таких как:

-арифметические функции;

-последовательностная логика;

-блоки памяти;

-фильтры;

-конверторы кодов;

-элементы для построения тестов;

-промышленные приложения;

-коммуникационные приложения.

Кросс-отладка. Трассировка всех сигналов позволяет разработчикам выполнять кросс-отладку (зондирование) между временными диаграммами и блок-диаграммами. Такая трассировка позволяет сделать обратную анно­ тацию проекта и дает прямой доступ как к текстовому, так и графическому представлению проекта. Щелчок мышью на любом сообщении об ошибке или предупреждающем сообщении адресует разработчика непосредствен­ но на соответствующую строку исходного кода.

Тестовое покрытие. Идентифицирует те части проекта, которые не исполнялись во время прогона теста. Это средство дает возможность ин­ женеру легко определить, какие части теста требуют доработки. Средства анализа тестового покрытия компании Aldec интегрированы в ядро моде­ лирования и поддерживают функции покрытия по строкам кода, по пере­ ключениям и интегрального покрытия на множестве тестов.

Поддержка совместного моделирования. Система Active-HDL пре­ доставляет интерфейс и возможность совместного моделирования пове­ денческих моделей на языках описания аппаратуры и блоков цифровой об­ работки сигналов в единой среде математического представления моделей высокого уровня. Прямой интерфейс с системой Simulink компании Mathworks автоматизирует процесс установки для выполнения совместно­ го моделирования с Active-HDL.

Преобразование кода в графику. Функция Code2Graphics может ге­ нерировать графическое представление проектов, сделанных на языках VHDL или Verilog. Эта функция дает разработчикам систем ясную картину взаимосвязей между компонентами, используемыми в проекте. Она анали­ зирует исходные файлы на языках VHDL, Verilog или в формате EDIF и генерирует один или более файлов блок-диаграмм, в зависимости от коли­ чества проектных объектов, модулей или элементов, найденных в анализи­

Graphic

Editor

ISymbol

[Editor

Text Editor

Waveform

Editor

Floorplan

Editor

Compiler

Simulator

Timing

Analyzer

Programmer

Message

Processor

Графический редактор - позволяет разрабатывать схемный!) логический проект в формате реального отображения на эк­ ране WYSIWYG

Символьный редактор - позволяет редактировать

сущест­

вующие символы и создавать новые

j

Текстовый редактор - позволяет создавать и редактировать' текстовые файлы логического дизайна, написанные на язы­ ках AHDL, VHDL, Verilog HDL

Сигнальный редактор - выполняет двойную функцию: инст­ румент для разработки и инструмент для ввода тестовых векторов и наблюдения результатов тестирования

Поуровневый планировщик - позволяет графическими сред- j ствами делать назначения контактам устройства и ресурсов логических элементов

Компилятор - обрабатывает графические проекты

Симулятор - позволяет тестировать логические операции и внутреннюю синхронизацию проектируемой логической це­ пи

Временной анализатор - анализирует работу проектируемой логической цепи после того, как она была синтезирована и оптимизирована компилятором

Программатор - позволяет программировать, конфигуриро­ вать, проводить верификацию и тестировать ПЛИС фирмы Altera

Генератор сообщений - выдает на экран сообщения об ошибках, предупреждающие и информационные сообщения

2. ОСНОВЫ ЯЗЫКА VHDL

Одним из наиболее популярных и поддерживаемых большинством САПР радиоэлектроники является язык описания устройств VHDL. Не­ смотря на схожесть некоторых конструкций языка с таковыми в алгорит­ мических языках программирования (C++, Delphi, VB), существует ряд кардинальных различий, обусловленных спецификой применения и собст­ венно тем фактом, что предложения VHDL описывают электрические со­ единения, создаваемые в момент конфигурации БИС, т.е. выполняются одновременно, а не алгоритмически.

2.1. Основные элементы языка

Рассмотрим основные элементы и конструкции языка VHDL.

2.1.1. Первичная абстракция

VHDL является формальной записью, предназначенной для описа­ ния функции и логической организации цифровой системы. Функция сис­ темы определяется как преобразование значений на входах в значения на выходах. Причем время в этом преобразовании задается явно. Организация системы задается перечнем связанных компонентов.

Объект проекта (entity) представляет собой описание компонента проекта, имеющего четко заданные входы и выходы и выполняющего чет­ ко определенную функцию.

В описании объекта проекта можно использовать компоненты, кото­ рые, в свою очередь, могут быть описаны как самостоятельные объекты проекта более низкого уровня. Таким образом, каждый компонент объекта проекта может быть связан с объектом проекта более низкого уровня. В результате такой декомпозиции объекта проекта пользователь строит ие­ рархию объектов проекта, представляющую весь проект в целом и состоя­ щую из нескольких уровней абстракций. Такая совокупность объектов проекта называется иерархией проекта (design_hierarchy).

Каждый объект проекта состоит, как минимум, из двух различных типов описаний: описания интерфейса и одного или более архитектур­ ных тел.

Интерфейс описывается в объявлении объекта проекта (епtity_declaration) и определяет только входы и выходы объекта проекта.

Для описания поведения объекта или его структуры служит архитек­ турное тело (architecture_body).

Чтобы задать, какие объекты проекта использованы для создания