Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

2 Семестр / Отчеты / 9 варик / 1laboratornaya_данные_удалены

.pdf
Скачиваний:
10
Добавлен:
07.06.2022
Размер:
523.22 Кб
Скачать

6 УСТРОЙСТВО СОГЛАСНО ВАРИАНТУ 9

Схема шестого устройства будет построена по следующей формуле:

F = x * y z w. Таблица истинности шестого устройства

представлена в таблице 6.1

Таблица 6.1 – Таблица истинности для устройства по варианту 11

x

y

z

w

F

 

 

 

 

 

0

0

0

0

0

0

0

0

1

1

0

0

1

0

0

0

0

1

1

1

0

1

0

0

0

0

1

0

1

1

 

 

 

 

 

0

1

1

0

0

 

 

 

 

 

0

1

1

1

1

1

0

0

0

1

1

0

0

1

0

1

0

1

0

0

1

0

1

1

1

1

1

0

0

0

1

1

0

1

1

1

1

1

0

1

1

1

1

1

0

 

 

 

 

 

Функциональная схема продемонстрирована на рисунке 6.1, на ней можно увидеть два входа y и z), входящих в ЛЭ искл.ИЛИ, далее из ЛЭ искл.ИЛИ есть выход, входящий с входом x в ЛЭ И, также из ЛЭ И идет выход ЛЭ искл.ИЛИ с входом w, и выход F.

Рисунок 6.1 – Функциональная схема устройства согласно варианту 9

Также был составлен код на языке описания аппаратуры HDL (рисунок 6.2). В блоке “entity”, описаны порты внешнего взаимодействия (на 5 строчке описаны четыре входа x, y, z и w, а на 6 выход F). Затем идет структура блока, описание которой происходит внутри оператора “architecture”.

11

Рисунок 6.2 – HDL код для устройства по варианту

Далее было проведено моделирование построенной схемы в двух режимах: “Timing” и “Functional”. Результаты моделирований продемонстрированы на рисунках 6.3 и 6.4 соответственно.

Рисунок 6.3 – Устройство по варианту в режиме “Timing”

Рисунок 6.4 – Устройство по варианту в режиме “Functional”

Если посмотреть на моделирование схемы в режиме “Functional”, можно заметить, что значения выхода F полностью совпадают с таблицей истинности, представленной ранее.

12

Заключение

Врезультате проделанной работы были изучены основные инструменты САПР QuartusII, приобретены навыки моделирования работы схем на основе простых логических элементов.

Входе моделирования работы схем была замечена интересная деталь, если запускать моделирование в режиме “Timing”, то некоторые сигналы будут немного выходить из временного интервала. Из этого был сделан вывод, что в режиме “Timing” учитываются задержки логических элементов. Однако, если запускать моделирование в режиме “Functional”, таких задержек не будет, этот режим их просто исключает.

Также было проведено сравнение таблиц истинностей логических элементов с их результатами моделирования, как и ожидалось, их результаты совпали.

13