Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

2 Семестр / Отчеты / 19 варик / ЛР2_данные_удалены

.pdf
Скачиваний:
17
Добавлен:
07.06.2022
Размер:
1.3 Mб
Скачать

 

 

 

 

 

 

 

 

 

̅

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

 

 

 

 

 

 

 

̅̅̅̅

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅

 

(

 

 

̅̅̅

̅̅̅)

 

 

= (( )

(

̅̅̅

)

 

 

 

 

 

 

 

 

 

 

 

 

 

9

 

 

 

 

8

 

 

 

9

 

 

 

 

 

7

 

 

 

 

8

 

 

 

9

 

 

̅̅ ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

(

 

̅̅̅

̅̅̅

 

̅̅̅

̅̅̅)

 

(

̅̅̅

 

̅̅̅

̅̅̅)

 

 

 

 

6

 

 

 

7

 

 

 

8

 

 

 

9

 

 

 

5

 

 

 

6

 

 

 

 

7

 

 

 

8

 

9

 

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

 

 

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

̅̅̅

̅̅̅)

 

 

 

 

 

 

 

 

 

 

 

(

 

̅̅̅

̅̅̅

 

̅̅̅

 

 

 

 

 

 

 

 

 

 

 

 

 

 

4

 

 

5

 

6

 

 

7

 

 

8

 

 

 

 

9

 

 

 

 

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

 

̅̅̅

̅̅̅)

 

 

 

 

 

 

 

(

 

̅̅̅

̅̅̅

̅̅̅

̅̅̅

 

 

 

 

 

 

 

 

 

 

 

3

 

 

4

 

 

5

 

 

 

6

 

 

 

7

 

 

 

 

8

 

 

 

9

 

 

 

 

 

 

 

̅̅ ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

̅̅̅

̅̅̅

 

 

̅̅̅

̅̅̅

)

 

 

 

 

(

 

̅̅̅

̅̅̅

̅̅̅

 

 

 

 

 

 

 

 

2

 

 

3

 

 

4

 

5

 

 

6

 

7

 

 

 

 

8

 

 

 

9

 

 

 

̅̅ ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

̅̅̅

 

̅̅̅

̅̅̅)

 

 

(

 

̅̅̅

̅̅̅

̅̅̅

̅̅̅

̅̅̅

 

 

 

 

1

 

 

2

 

 

3

 

 

4

 

 

 

5

 

 

 

6

 

 

 

 

7

 

 

 

 

8

 

 

9

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

̅̅̅

̅̅̅

̅̅̅

̅̅̅))

 

(

 

̅̅̅

 

̅̅̅

̅̅̅

 

̅̅̅

 

̅̅̅

 

0

 

 

1

 

 

 

2

 

 

 

3

 

 

4

 

 

 

5

 

 

6

 

 

 

 

7

 

 

 

8

9

 

0

̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿

= ( ̅̅̅

̅̅̅

̅̅̅

̅̅̅

̅̅̅

 

̅̅̅

 

̅̅̅

̅̅̅

̅̅̅

̅̅̅)

 

 

 

 

 

 

 

 

 

1

 

 

 

2

 

 

3

 

 

 

4

 

 

 

5

 

 

 

 

 

6

 

 

 

7

 

8

9

3.3 ФУНКЦИОНАЛЬНАЯ СХЕМА

На рисунке 3.1 представлена функциональная схема приоритетного шифратора 10-4.

Рисунок 3.1 – Функциональная схема приоритетного шифратора 10-4 На рисунке 3.1 указаны входы и выходы, описанные в пункте 3.1.

Базисный элемент И-НЕ присутствует согласно формуле и варианту.

3.4 РЕЗУЛЬТАТ МОДЕЛИРОВАНИЯ СХЕМЫ

На рисунке 3.2 представлено моделирование приоритетного шифратора

10-4 в режиме Timing.

21

Рисунок 3.2 – Моделирование в режиме Timing

На рисунке 3.3 представлено моделирование приоритетного шифратора

10-4 в режиме Functional.

Рисунок 3.3 – Моделирование в режиме Functional

По результатам моделирования результат работы собранной схемы соответствует таблице истинности.

3.5 ОПИСАНИЕ С ПОМОЩЬЮ HDL

По варианту используется SystemVerilog.

Ниже приведен код описывающий устройство приоритетного шифратора

10-4:

module HPRI10_4( input logic [9:0] x, input logic E, output logic [3:0] a,

22

output logic G, output logic E0 );

always_comb begin G = 1'b1;

E0 = 1'b0; if (E) begin

if (x[9]) a = 4'b1001; else if (x[9]) a = 4'b1001; else if (x[8]) a = 4'b1000; else if (x[7]) a = 4'b0111; else if (x[6]) a = 4'b0110; else if (x[5]) a = 4'b0101; else if (x[4]) a = 4'b0100; else if (x[3]) a = 4'b0011; else if (x[2]) a = 4'b0010; else if (x[1]) a = 4'b0001; else if (x[0]) a = 4'b0000; else

begin

a = 4'b0000; E0 = 1'b1; G = 0;

end

end else

begin

a = 4'b0000; G = 0;

end end

endmoduleend endmodule

На рисунке 3.4 представлена схема из RTL Viewer для кода выше.

23

Рисунок 3.4 – RTL Viewer представление

На рисунке 3.5 представлено моделирование в режиме Timing.

Рисунок 3.5 – Моделирование в режиме Timing

На рисунке 3.6 представлено моделирование в режиме Functional.

Рисунок 3.6 – Моделирование в режиме Functional

По результатам моделирования результат работы собранной схемы соответствует таблице истинности.

24

Заключение

В результате выполнения лабораторной работы были изучено строение шифраторов и дешифраторов на разных базисах. Были получены навыки решения задач увеличения их размерности.

Были реализованы и промоделированы следующий схемы:

1.Шифратор CD 8-3 на базисах И, ИЛИ, НЕ, а также масштабирование его до CD 16-4.

2.Дешифратор DC 2-4 с базисом ИЛИ-НЕ, а также его масштабирование до DC 3-8.

3.HPRI 10-4 на базисе И-НЕ.

25