Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

2 Семестр / Отчеты / 19 варик / ЛР2_данные_удалены

.pdf
Скачиваний:
17
Добавлен:
07.06.2022
Размер:
1.3 Mб
Скачать

Рисунок 1.13 – RTL Viewer представление

2.ДЕШИФРАТОР 2-4

2.1ТАБЛИЦА ИСТИННОСТИ

Двоичным дешифратором или декодером (от англ. слова decoder) чаще всего называют кодирующее устройство, преобразующее двоичный код в код «1 из ». Из всех выходов дешифратора активный уровень имеется только на одном, а именно на том, номер которого равен поданному на вход двоичному числу. На всех остальных выходах дешифратора уровни напряжения неактивные.

По мимо информационных входов дешифратор может иметь и управляющие входы . При активном состоянии разрешающего входа, дешифратор работает в обычном режиме, но если состояние пассивное, то на выходах дешифратора будет одинаковый сигнал (либо все 1, либо все 0).

Таблица 2.1 отражает таблицу истинности для дешифратора 2-4. Таблица 2.1 – Таблица истинности дешифратора 2-4.

 

 

Входы

 

 

 

Выходы

 

 

 

 

 

 

 

 

1

 

0

 

3

2

 

1

0

0

 

0

1

0

0

 

0

1

 

 

 

 

11

 

 

 

 

 

 

Входы

 

 

 

Выходы

 

 

 

 

 

 

 

 

0

 

1

1

0

0

 

1

0

1

 

0

1

0

1

 

0

0

1

 

1

1

1

0

 

0

0

X

 

X

0

0

0

 

0

0

2.2 ФОРМУЛА

По таблице истинности 2.1 составим формулы:

 

= ̅̅̅

̅̅̅

 

0

0

1

 

 

=

̅̅̅

 

1

0

1

 

 

= ̅̅̅

 

 

2

0

1

 

3 = 0 1

Базисным элементом является ИЛИ-НЕ, преобразуем полученные

формулы:

 

 

 

 

 

 

 

̿̿̿̿̿̿̿̿̿̿̿̿̿̿

̅̅̅̅̅̅̅̅̅̅̅̅̅̅

= ̅̅̅ ̅̅̅ =

 

 

 

0

0

1

 

0

1

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

= ̅̅̅

 

 

 

 

1

0

1

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

=

̅̅̅

 

 

 

2

0

1

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

= ̅̅̅ ̅̅̅

 

 

 

3

0

1

 

 

 

2.3 ФУНКЦИОНАЛЬНАЯ СХЕМА

На рисунке 2.1 представлена функциональная схема дешифратора 2-4.

12

Рисунок 2.1 – Функциональная схема дешифратора 2-4 На рисунке 2.1 указаны входы и выходы, описанные в пункте 2.1.

Базисный элемент ИЛИ-НЕ присутствует согласно формуле и варианту.

2.4 РЕЗУЛЬТАТ МОДЕЛИРОВАНИЯ СХЕМЫ

На рисунке 2.2 представлено моделирование дешифратора 2-4 в режиме

Timing.

Рисунок 2.2 – Моделирование в режиме Timing

На рисунке 2.3 представлено моделирование дешифратора 2-4 в режиме

Functional.

Рисунок 2.3 – Моделирование в режиме Functional

13

Помимо основных состояниях, при которых дешифратор работает правильно, были проверены состояния, при которых сигнал являлся пассивным и все выходы были в состоянии 0.

По результатам моделирования результат работы собранной схемы соответствует таблице истинности.

2.5 ОПИСАНИЕ С ПОМОЩЬЮ HDL

По варианту используется SystemVerilog.

Ниже приведен код описывающий устройство дешифратора 2-4: module DC2_4 (

input logic [1:0] x, input logic E, output logic [3:0]F );

always_comb begin if (E)

case(x)

2'b00: F = 4'b0001;

2'b01: F = 4'b0010;

2'b10: F = 4'b0100;

2'b11: F = 4'b1000; endcase

else begin

F = 4'b0000;

end end

endmodule

На рисунке 2.4 представлена схема из RTL Viewer для кода выше.

Рисунок 2.4 – RTL Viewer представление

На рисунке 2.5 представлено моделирование в режиме Timing.

14

Рисунок 2.5 – Моделирование в режиме Timing

На рисунке 2.6 представлено моделирование в режиме Functional.

Рисунок 2.6 – Моделирование в режиме Functional

2.6 МАСШТАБИРОВАНИЕ ДЕШИФРАТОРА

По варианту необходимо реализовать работу дешифратора 3-8 на основе дешифратора 2-4.

Таблица истинности для дешифратора 3-8 представлена в таблице 2.2. Таблица 2.2 - Таблица истинности для дешифратора 3-8

Входы

 

 

 

Выходы

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

2

 

1

0

 

7

6

5

4

 

3

2

1

0

0

 

0

0

1

0

0

0

0

 

0

0

0

1

0

 

0

1

1

0

0

0

0

 

0

0

1

0

0

 

1

0

1

0

0

0

0

 

0

1

0

0

0

 

1

1

1

0

0

0

0

 

1

0

0

0

1

 

0

0

1

0

0

0

1

 

0

0

0

0

1

 

0

1

1

0

0

1

0

 

0

0

0

0

1

 

1

0

1

0

1

0

0

 

0

0

0

0

1

 

1

1

1

1

0

0

0

 

0

0

0

0

Х

 

Х

Х

0

0

0

0

0

 

0

0

0

0

В соответствии таблицей истинности на основе дешифратора 2-4 была разработана схема дешифратора 3-8. Функциональная схема масштабированного дешифратора 3-8 приведена на рисунке 2.7

15

Рисунок 2.7 – Функциональная схема дешифратора 3-8 На рисунке 2.8 представлено моделирование дешифратора 3-8 в режиме

Timing.

Рисунок 2.8 – Моделирование в режиме Timing

На рисунке 2.9 представлено моделирование дешифратора 3-8 в режиме

Functional.

Рисунок 2.9 – Моделирование в режиме Functional

По результатам моделирования видно, что работа схемы соответствует таблице истинности 2.2.

С помощью SystemVerilog также была описана схема дешифратора 3-8 с использованием масштабирования. За основу взят дешифратор 2-4, описанный в пункте 2.5.

module DC3_8 ( input logic [2:0] x, input logic E, output logic [7:0]F );

16

logic [3:0] cdOut2_4;

DC2_4 dc(x[1:0], E, cdOut2_4);

assign F[0] = cdOut2_4[0] & E & ~x[2]; assign F[1] = cdOut2_4[1] & E & ~x[2]; assign F[2] = cdOut2_4[2] & E & ~x[2]; assign F[3] = cdOut2_4[3] & E & ~x[2]; assign F[4] = cdOut2_4[0] & E & x[2]; assign F[5] = cdOut2_4[1] & E & x[2]; assign F[6] = cdOut2_4[2] & E & x[2]; assign F[7] = cdOut2_4[3] & E & x[2]; endmodule

Результат моделирования дешифратора 3-8 описанный с помощью SystemVerilog в режиме Timing представлен на рисунке 2.10.

Рисунок 2.10 – Моделирование в режиме Timing

На рисунке 2.11 представлен результат моделирования в режиме

Functional.

Рисунок 2.11 – Моделирование в режиме Functional

По результатам моделирования видно, что работа схемы соответствует таблице истинности 2.2.

На рисунке 2.12 представлена схема из RTL Viewer для кода, описывающего дешифратор 3-8

17

Рисунок 2.12 – RTL Viewer представление

18

3. ПРИОРИТЕТНЫЙ ШИФРАТОР 10-4

3.1 ТАБЛИЦА ИСТИННОСТИ

Приоритетные шифраторы выполняют более сложную операцию. При работе компьютера и в других устройствах часто решается задача определения приоритетного претендента на пользование каким-либо ресурсом. Несколько конкурентов выставляют свои запросы на обслуживание, которые не могут быть удовлетворены одновременно. Нужно выбрать того, кому предоставляется право первоочередного обслуживания.

Приоритетный шифратор вырабатывает на выходе двоичный номер старшего запроса. Отсюда следует, что при наличии всего одного возбужденного входа приоритетный шифратор работает так же, как и двоичный.

Таблица 3.1 отражает таблицу истинности для приоритетного шифратора

10-4.

Таблица 3.1 – Таблица истинности приоритетного шифратора 10-4.

 

 

 

 

 

 

 

Входы

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Выходы

 

 

 

 

 

 

 

 

 

 

 

 

x

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

0

 

 

9

8

 

 

7

6

 

5

 

 

4

 

 

 

3

 

 

2

 

1

 

 

 

0

 

 

3

 

2

 

1

 

0

 

 

1

 

1

x

 

 

x

x

 

x

 

 

x

 

 

 

x

 

 

x

 

x

 

 

 

x

 

 

1

 

0

 

0

 

1

1

0

1

 

0

1

 

 

x

x

 

x

 

 

x

 

 

 

x

 

 

x

 

x

 

 

 

x

 

 

1

 

0

 

0

 

0

1

0

1

 

0

0

 

 

1

x

 

x

 

 

x

 

 

 

x

 

 

x

 

x

 

 

 

x

 

 

0

 

1

 

1

 

1

1

0

1

 

0

0

 

 

0

1

 

x

 

 

x

 

 

 

x

 

 

x

 

x

 

 

 

x

 

 

0

 

1

 

1

 

0

1

0

1

 

0

0

 

 

0

0

 

1

 

 

x

 

 

 

x

 

 

x

 

x

 

 

 

x

 

 

0

 

1

 

0

 

1

1

0

1

 

0

0

 

 

0

0

 

0

 

 

1

 

 

 

x

 

 

x

 

x

 

 

 

x

 

 

0

 

1

 

0

 

0

1

0

1

 

0

0

 

 

0

0

 

0

 

 

0

 

 

 

1

 

 

x

 

x

 

 

 

x

 

 

0

 

0

 

1

 

1

1

0

1

 

0

0

 

 

0

0

 

0

 

 

0

 

 

 

0

 

 

1

 

x

 

 

 

x

 

 

0

 

0

 

1

 

0

1

0

1

 

0

0

 

 

0

0

 

0

 

 

0

 

 

 

0

 

 

0

 

1

 

 

 

x

 

 

0

 

0

 

0

 

1

1

0

1

 

0

0

 

 

0

0

 

0

 

 

0

 

 

 

0

 

 

0

 

0

 

 

 

1

 

 

0

 

0

 

0

 

0

0

0

1

 

0

0

 

 

0

0

 

0

 

 

0

 

 

 

0

 

 

0

 

0

 

 

 

0

 

 

0

 

0

 

0

 

0

0

1

0

 

x

x

 

 

x

x

 

x

 

 

x

 

 

 

x

 

 

x

 

x

 

 

 

x

 

 

0

 

0

 

0

 

0

0

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

3.2 ФОРМУЛА

 

 

 

 

 

 

 

 

 

 

 

 

 

По таблице истинности 3.1 составим формулы для четырех выходов и

сигналов и 0 в виде СДНФ:

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

0

 

= ((

) (

 

̅̅̅ ̅̅̅)

(

 

̅̅̅

̅̅̅

̅̅̅ ̅̅̅)

 

 

 

 

 

 

 

 

9

 

 

 

7

 

 

8

 

9

 

 

5

 

6

 

7

8

9

 

 

 

 

 

 

 

 

 

(

3

̅̅̅

̅̅̅

̅̅̅

̅̅̅

 

̅̅̅

 

̅̅̅)

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

4

 

5

6

 

7

 

 

8

 

9

 

 

 

 

 

 

 

 

 

 

 

 

 

 

(

 

 

̅̅̅

̅̅̅

̅̅̅

̅̅̅

̅̅̅ ̅̅̅

̅̅̅ ̅̅̅))

 

 

 

 

 

 

 

 

 

 

 

1

 

 

2

 

3

4

 

5

 

 

6

 

7

8

9

 

 

 

 

 

 

 

= ((

̅̅̅ ̅̅̅) ( ̅̅̅

̅̅̅ ̅̅̅)

 

 

 

 

 

 

 

 

 

 

 

1

 

 

7

 

 

 

8

 

 

9

 

 

 

6

 

 

7

 

8

9

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

(

 

̅̅̅

̅̅̅

̅̅̅

 

̅̅̅

̅̅̅

̅̅̅)

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

3

 

 

 

4

 

 

5

 

6

 

 

 

7

 

 

8

9

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

(

 

̅̅̅

̅̅̅

̅̅̅

 

̅̅̅

̅̅̅

̅̅̅

̅̅̅))

 

 

 

 

 

 

 

 

 

 

 

 

 

 

2

 

 

 

3

 

 

4

 

5

 

 

 

6

 

 

7

8

9

 

 

 

 

19

 

2

= ((

̅̅̅

̅̅̅) ( ̅̅̅

 

̅̅̅

̅̅̅) ( ̅̅̅

̅̅̅

̅̅̅

̅̅̅)

 

7

8

 

9

 

6

7

 

 

8

 

9

 

 

5

6

 

7

 

8

9

 

 

( ̅̅̅

̅̅̅ ̅̅̅

̅̅̅

̅̅̅))

 

 

 

 

 

 

 

 

 

 

 

 

 

4

5

 

6

7

 

8

 

 

9

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

3

= ( ( )

(

 

̅̅̅))

 

 

 

 

 

 

 

 

 

 

 

 

 

 

9

 

 

 

8

 

 

9

 

 

 

 

 

 

 

 

= (( ) (

̅̅̅) (

 

̅̅̅

̅̅̅

)

(

̅̅̅

 

̅̅̅

̅̅̅)

 

 

 

9

 

8

 

9

7

 

 

8

 

9

 

 

 

6

7

 

8

 

9

 

 

 

 

 

(

̅̅̅

̅̅̅

̅̅̅ ̅̅̅

) (

 

̅̅̅

̅̅̅

̅̅̅

 

̅̅̅

̅̅̅)

 

 

 

5

 

6

7

8

 

9

 

 

4

 

5

6

 

7

 

8

 

9

 

 

 

(

̅̅̅

̅̅̅

̅̅̅

̅̅̅

 

̅̅̅ ̅̅̅)

 

 

 

 

 

 

 

 

 

 

3

 

4

5

6

 

7

 

8

 

 

9

 

 

 

 

 

 

 

 

 

 

 

(

̅̅̅

̅̅̅

̅̅̅

̅̅̅

 

̅̅̅

 

 

̅̅̅ ̅̅̅)

 

 

 

 

 

 

 

 

 

2

 

3

4

5

 

6

 

7

 

 

8

9

 

 

 

 

 

 

 

 

 

(

̅̅̅

̅̅̅

̅̅̅

̅̅̅

 

̅̅̅

 

 

̅̅̅

 

̅̅̅ ̅̅̅)

 

 

 

 

 

 

 

1

 

2

3

4

 

5

 

6

 

 

7

 

8

9

 

 

 

 

 

 

 

(

̅̅̅

̅̅̅

̅̅̅

̅̅̅

 

̅̅̅

 

 

̅̅̅

̅̅̅

̅̅̅ ̅̅̅))

 

 

 

 

 

0

 

1

2

3

 

4

 

5

 

 

6

 

7

8

9

 

 

 

 

0 = ̅̅̅ ̅̅̅ ̅̅̅ ̅̅̅

̅̅̅ ̅̅̅

̅̅̅ ̅̅̅

̅̅̅ ̅̅̅

 

 

 

 

 

 

0

 

1

2

3

 

4

5

 

 

6

7

 

8

 

9

 

 

Базисным элементом является И-НЕ, преобразуем полученные формулы:

 

 

 

 

 

 

̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿

0

= ((

 

)

(

 

 

̅̅̅

̅̅̅)

(

5

̅̅̅

̅̅̅

 

̅̅̅

̅̅̅

)

 

 

 

 

 

 

 

9

 

 

 

 

7

 

 

 

 

8

 

 

9

 

 

 

 

 

 

6

 

 

 

7

 

8

9

 

 

 

 

 

 

 

 

 

̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿

 

 

 

 

 

 

 

 

 

 

 

 

 

(

̅̅̅

 

̅̅̅

̅̅̅

̅̅̅

 

̅̅̅

̅̅̅

)

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

3

 

 

 

4

 

 

 

5

 

 

6

 

 

 

7

 

 

 

8

 

 

 

9

 

 

 

 

 

 

 

̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿

 

 

 

 

 

(

̅̅̅

̅̅̅

̅̅̅

̅̅̅

̅̅̅

 

̅̅̅

̅̅̅

̅̅̅)) =

 

 

 

 

 

 

1

 

 

 

 

2

 

 

 

3

 

 

 

 

4

 

 

5

 

 

6

 

 

 

 

7

 

 

 

8

 

 

9

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

 

 

̅̅̅

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

(

 

̅̅̅

̅̅̅

̅̅̅

̅̅̅)

 

 

 

((

 

) (

7

 

̅̅̅

̅̅̅)

 

 

 

 

 

 

 

9

 

 

 

 

 

 

 

 

 

 

8

 

 

9

 

 

 

5

 

 

 

6

 

 

7

 

 

8

 

9

 

 

 

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

 

 

 

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

̅̅̅

̅̅̅)

 

 

 

 

 

 

 

 

 

 

 

 

(

̅̅̅

 

̅̅̅

̅̅̅

̅̅̅

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

3

 

 

 

4

 

 

 

5

 

 

6

 

 

 

7

 

 

 

8

 

 

 

9

 

 

 

 

 

 

 

̅̅ ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

̅̅̅

 

̅̅̅

̅̅̅))

 

 

 

 

(

 

 

̅̅̅

̅̅̅

 

̅̅̅

̅̅̅

̅̅̅

 

 

 

 

 

 

 

 

1

 

 

 

2

 

 

 

3

 

 

 

4

 

5

 

 

6

 

 

7

 

 

 

8

 

9

 

 

 

 

 

 

 

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

 

 

= ((

 

̅̅̅

̅̅̅)

 

̅̅̅̅̅̅̅̅

 

 

̅̅̅

̅̅̅)

 

 

 

 

 

(

 

̅̅̅

 

 

 

 

1

 

 

 

 

 

 

 

 

 

7

 

 

 

 

8

 

 

9

 

 

 

 

6

 

 

7

 

 

 

8

 

9

 

 

 

 

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

 

 

 

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

̅̅̅

̅̅̅)

 

 

 

 

 

 

 

 

 

 

 

 

(

̅̅̅

 

̅̅̅

̅̅̅

̅̅̅

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

3

 

 

 

4

 

 

 

5

 

 

6

 

 

 

7

 

 

 

8

 

 

 

9

 

 

 

 

 

 

 

 

 

 

̅̅ ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

̅̅̅

 

̅̅̅

̅̅̅))

 

 

 

 

 

 

 

 

(

 

̅̅̅

̅̅̅

̅̅̅

̅̅̅

 

 

 

 

 

 

 

 

 

 

 

2

 

 

3

 

 

 

 

4

 

 

5

 

 

6

 

 

 

 

7

 

 

8

 

 

9

 

 

 

 

 

 

 

 

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

 

 

 

= ((

 

̅̅̅

̅̅̅)

 

̅̅̅̅̅̅̅̅

 

 

̅̅̅

̅̅̅)

 

 

 

 

2

(

 

̅̅̅

 

 

 

 

 

 

 

 

 

 

 

 

 

 

7

 

 

 

 

8

 

 

9

 

 

 

 

6

 

 

7

 

 

 

8

 

9

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

(

̅̅̅

̅̅̅

̅̅̅

̅̅̅

̅̅̅))

(

̅̅̅

̅̅̅

̅̅̅

̅̅̅)

5

6

7

 

8

9

 

4

5

6

7

8

9

 

 

 

 

 

̅ ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

 

 

 

̅̅̅̅̅

 

̅̅̅))

 

 

 

 

3

= ((

 

) (

 

 

 

 

 

 

9

 

8

9

 

 

 

20