Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

Методическое пособие 277

.pdf
Скачиваний:
3
Добавлен:
30.04.2022
Размер:
755.52 Кб
Скачать

 

УДК 621.382

МИНИСТЕРСТВО ОБРАЗОВАНИЯ РОССИЙСКОЙ

 

ФЕДЕРАЦИИ

Акулинин С.А. Физика деградационных процессов в

 

компонентах МОП СБИС: Учеб. пособие /С.А.Акулинин,

 

С.А.Минаков., И.С.Проскурина; Воронеж. гос. техн. ун-т.

Воронежский государственный технический

2001. 86 с.

университет

 

 

Рассматриваются вопросы деградационных процессов в

 

окисле кремния при инжекции Фаулера-Нордгейма, туннель-

 

ной инжекции горячих носителей, время-зависимом пробое

 

МОП-структуры, дана методика определения параметров цен-

 

тров захвата в окисле кремния.

С.А.Акулинин С.А.Минаков И.С.Проскурина

Учебное пособие предназначено для студентов, обучаю-

 

щихся по специальностям 200500 «Электронное машино-

 

строение» при изучении курса «Основы теории надежности».

 

Учебное пособие подготовлено на магнитном носителе в

ФИЗИКА ДЕГРАДАЦИОННЫХ ПРОЦЕССОВ

текстовом редакторе MS WORD 97.0 и содержится в файле

В КОМПОНЕНТАХ МОП СБИС

Надежн.rar.

 

Табл. 3. Ил. 9. Библиогр.: 44 назв.

 

Научный редактор канд. физ.-мат. наук Е.В.Бордаков

Учебное пособие

 

 

Рецензенты: кафедра физики полупроводников и микро-

 

электроники Воронежского государственно-

 

го университета;

 

д-р техн. наук В.Я.Нисков

 

Издается по решению редакционно-издательского совета

 

Воронежского государственного технического университета

Воронеж 2001

Акулинин С.А., Минаков С.А., Проскури-

на И.С., 2001

 

 

Оформление. Воронежский государствен-

 

ный технический университет, 2001

 

ОГЛАВЛЕНИЕ

 

 

Введение

4

1.

Деградационные процессы в МДП структурах,

обуслов-

 

ленные инжекцией горячих носителей

8

 

1.1. Туннелирование носителей заряда по механизму Фау-

 

лера-Нордгейма

8

 

1.2. Лавинная инжекция носителей заряда

25

 

1.3. Физико-химические модели активных дефектов в ди-

 

электрике и на границе раздела Si-SiO2

33

 

1.4. Методика определения параметров ловушечных цен-

 

тров в диэлектрике МДП структур

 

2.

Электрическая нестабильность и пробой тонких

диэлек-

 

трических пленок

50

 

2.1. Механизмы пробоя диэлектрических пленок

50

 

2.2. Модели времязависимого пробоя термических пленок

 

SiO2

52

 

2.3. Методы отбраковочных испытаний по отношению к

 

времязависимому пробою

60

2.4.Прогнозирование надежности затворного окисла и оптимизация отбраковочных испытаний

2.5. Модель накапливающихся повреждений

67

3. Влияние масштабирования на надежность СБИС

75

2.6. Основные алгоритмы масштабирования

75

2.7. Влияние масштабирования на среднюю наработку до

отказа

77

Заключение

82

Список литературы

82

ВВЕДЕНИЕ

Усложнение функций, выполняемых ИС, обусловливает уменьшение размеров элементов схем и увеличение площади кристалла. При этом растут требования, предъявляемые к надежности ИС.

Для оценки возможностей длительной наработки и сохраняемости ИС следует выявить дефекты, которые сказываются на надежности в разные периоды работы схем. Конечно, для обеспечения надежной работы ИС необходимо учитывать все группы дефектов, но для длительной наработки прежде всего те, которые не очень четко выявляются при заводских испытаниях ИС и аппаратуры. Такого рода дефекты должны быть устранены в первую очередь, чтобы обеспечить высокую надежность ИС и аппаратуры. В принципе, развитие микроэлектроники, ведущее к уменьшению размеров компонентов ИС, повышению плотности упаковки ИС на кристалле, уменьшению размерного фактора и ширины линий металлизации, может вызвать снижение надежности ИС, повышение чувствительности к деградации, а значит и сокращение долговечности ИС. Во многих работах подчеркивается, что долговечность должна форсированно снижаться при уменьшении размерного фактора. Отмечается, что в элементах с уменьшенной геометрией увеличиваются скорость электромиграции, захват и туннелирование носителей, генерация горячих носителей, так как при микроминиатюризации увеличиваются напряженность поля и отношение площади к объему элементов. Предполагается, что в результате возрастает интенсивность протекания поверхностных деградационных процессов и возникают новые механизмы отказов. Уменьшение отношения размера элемента к характерному структурному элементу, например ширины пленочного проводника к среднему размеру зерна пленки, увеличивает скорость деградационных процессов структурной перестройки - диффузионного распада растворов, порообразования и сокращает долговечность. Аналогичная картина наблюдается и с другими параметрами.

3

4

Совершенствование конструкции и технологии изготовления ИС способствует устранению "ранних" отказов, однако понятие "ранние" становится расплывчатым. Так как требования к длительности наработки и сохраняемости растут, и в настоящее время они достигают несколько десятков лет, в понятие "ранний" входят не месяцы или годы, а десятилетия. При совершенствовании конструкции или технологии изготовления необходимо убедиться, что они отодвинут деградацию ИС на десятилетия.

Все это желательно знать как можно раньше, в течение нескольких месяцев, но темпы развития современной электроники этого не допускают. Вновь возникает задача проведения ускоренных испытаний. Но как и в ранние периоды развития теории ускоренных испытаний, при формировании методов приходится считаться с трудностями оценки коэффициента ускорения.

По классической схеме для оценки коэффициентов следует проводить два вида испытаний: обычные (неускоренные), на весь срок службы, и ускоренные. При этом необходимо убедиться, что при ускоренных испытаниях не возникает новых видов отказов, которые не наблюдались бы при неускоренных испытаниях, и оценить коэффициент ускорения по соотношениям интенсивностей отказов, сроков наработки или иным критериям. Однако для оценки масштабов ускорения нет самого главного - результатов неускоренных испытаний. При наработке в номинальных условиях в течение 1-2 лет ИС не отказывают. Значит, и сравнивать результаты ускоренных испытаний не с чем. Коэффициенты ускорения получить не удается. Лишь в отдельных случаях оказывается возможным сопоставить различные методы ускоренных испытаний.

Контроль качества, отбраковка могут заметно повысить долговечность приборов, но только в тех случаях, когда долговечность сокращается из-за плохого качества изготовления приборов, не выявленных дефектов, наличия "слабых" мест. В тех случаях, когда срок службы, сохраняемость, долговечность приборов определяются не качеством их изготовления, а де-

5

градацией параметров во времени, конструкцией, физическими характеристиками, принципиальными свойствами приборов, контроль качества слабо влияет на длительность наработки и сохраняемость.

В 1986-1987 гг. проводились наблюдения над 30 миллионами ИС разных серий на разных этапах работы с ними: при входном контроле, производстве аппаратуры и в процессе реальной эксплуатации. Причины отказов схем в ходе эксплуатации представлены в следующей таблице:

Причины отказов в про-

Количество отказов, шт.

цессе эксплуатации

 

 

 

1986 г.

1987 г.

 

 

 

Дефекты внешнего вида,

0

4

корпуса

 

 

Дефекты технологиче-

8

10

ские, фотолитографии,

 

 

окисла, сборки, посторон-

 

 

ние частицы

 

 

Брак по функционирова-

6

8

нию, электрическим пара-

 

 

метрам, растрескивание

 

 

кристалла, дефект оптопа-

 

 

ры у оптоэлектронных

 

 

схем

 

 

Коррозия, загрязнение

46

75

кристалла, некачественная

 

 

герметизация

 

 

Дефекты приварки выво-

16

22

дов

 

 

Дефекты металлизации

0

16

 

 

 

Всего

76

136

 

 

 

 

6

 

Как видно из таблицы, в указанный период отказы в основном вызываются коррозией, дефектами приварки выводов и металлизации.

По мере развития технологии МОП СБИС возрастает плотность активных элементов, что приводит к уменьшению размеров рабочих элементов и увеличению напряженности поля в активных областях этих элементов. Так , например, напряженность поля в подзатворном диэлектрике может достигать 5 106–107 В/см, что приводит к инжекции горячих носителей в диэлектрик и изменению параметров структуры под воздействием проходящего тока. Для прогнозирования надежности МОП СБИС, выполненных по субмикронной технологии, необходимо отчетливо представлять механизмы влияния инжектированных в диэлектрик носителей на параметры оксида и границы раздела Si–SiO2 .

Научное и практическое значение имеет проблема установления связи между влиянием инжекции горячих носителей в сильных электрических полях и действием радиационного излучения. Первоначально проблема ловушечных центров в диэлектрике приобрела актуальность именно в связи с проблемой обеспечения радиационной стойкости п/п приборов и ИС. Результаты по изучению физико-химической природы электрически активных центров в диэлектрике, полученные при изучении радиационных эффектов, представляют несомненный интерес при анализе результатов воздействия сильных электрических полей. При этом существует реальная возможность обеспечить радиационную стойкость ИС путем оптимизации технологического процесса и введении контроля, основанного на оценке влияния сильных электрических полей. Эти вопросы более детально рассмотрены в разделах 1–4.

1. Деградационные процессы в МДП-структурах, обусловленные инжекцией горячих носителей

Для исследований инжекции применяется, как правило, три методики: туннелирование по закону Фаулера-Нордгейма, лавинная инжекция носителей, ионизирующая радиация. Ос-

7

тановимся более подробно на результатах, полученных с использованием этих методик.

1.1 Туннелирование носителей заряда по механизму ФаулераНордгейма

Туннелирование носителей по механизму ФаулераНордгейма используется в большом числе работ /1–15/. В самом простом случае для расчета эмиссии в вакуум принимается треугольный потенциальный барьер и температура 0 К. Используя модель свободного электронного газа, получаем сле-

дующее выражение для плотности тока /1/

 

J=(q3E2/8 hФ) exp(–4(2m)1/ 2Ф3/ 2/3ħ qE),

(1)

где h – постоянная Планка, q – заряд электрона, Е – электрическое поле, Ф – высота барьера, m – масса свободного электрона.

График в координатах lnJ/E2 =f(1/E) представляет собой прямую линию.

Если необходимо учесть влияние сил изображения, то вводятся два корректирующих коэффициента t(y) и v(y). Оба члена представляют собой табулированные эллиптические интегралы, зависящие от нормализованного понижения барьера под действием сил изображения

y=(1/Ф)(q3E/4 0)1/2,

(2)

где 0 – диэлектрическая проницаемость вакуума. Как t(y), так и v(y) - медленно изменяющиеся функции от y. Эта коррекция вызывает существенный параллельный сдвиг графика Фауле- ра-Нордгейма к большим значениям J/E2. Изменение наклона меньше чем 1 % для y < 0,23, т.е. для всех случаев, рассматриваемых авторами. Туннелирование является независимым от температуры процессом, но число электронов данной энергии на барьере зависит от температуры. Ненулевая температура

вводит в уравнение Фаулера-Нордгейма

множитель

ckT/sin( ckT), где

 

с = 2(2mФ)1/ 2 t(y)/ħqE .

(3)

8

 

- сечение захвата; Nt

Для малого коэффициента температурной коррекции график Фаулера-Нордгейма еще близок к прямой линии, но с несколько меньшим наклоном.

Если учитываются снижение барьера под действием сил изображения и влияние температуры, получаем следующее уравнение

J = (q3E2/8 hФ) [1/t2(y)][ ckT/sin( ckT)]

exp{-[4(2m)1/ 2Ф3/ 2/3ħ qE]v(y)}.

(4)

Это уравнение получено для эмиссии из металла в вакуум. Для эмиссии в диэлектрик его необходимо модифицировать двумя путями: во-первых, в выражении для вероятности туннелирования массу свободного электрона необходимо заменить эффективной массой m* электрона в запрещенной зоне диэлектрика. Так как эффективная масса ожидается зависимой от энергии электрона внутри запрещенной зоны, используется подходящее среднее значение. Эта эффективная масса – лишь подгоночный параметр в данном исследовании. Модифицированное уравнение имеет следующую форму

J = (q3E2 m/8 hФm*)[1/t2(y)][ ckT/sin( ckT)]

exp{-[4(2m*)1/ 2Ф3/ 2/3ħ qE]v(y)},

(5)

где с = 2(2m* Ф)1/ 2t(y)/ħqE.

Во-вторых, в выражении для уменьшения барьера силами изображения должна учитываться относительная диэлектрическая постоянная r. При этом выражение принимает вид

y=(1/Ф)(q3E/4 r 0)1/2

(6)

Для исследования стабильности МОП структур с алюминиевым затвором автор /2/ использует туннельную инжекцию Фаулера-Нордгейма. Толщина окисла 100–400 Å. Когда применяется линейная развертка по напряжению, вольтамперная характеристика показывает квазинасыщение тока, т.е. ступеньку на ВАХ. Эта ступенька может быть объяснена моделью

9

электронного захвата. Для случая накопления заряда в объеме окисла захваченный заряд – ent вызывает уменьшение поля E у катода

E=(ent/ 0)(1–x/w),

(7)

где x – расстояние центроида заряда от катода; w – толщина окисла.

Квазинасыщение сохраняется пока не заполнятся ловушки, в результате кривая тока Фаулера-Нордгейма смещается от исходной на величину поля

EL=(eNt / 0)(1–x/w).

(8)

Ток насыщения определяется как

j0=[ 0r/ (Nt-nt)]( 1-x/w).

(9)

где r – скорость линейной развертки; концентрация ловушек.

Таким образом параметры захвата могут быть просто получены из ширины ступеньки и тока, при котором она имеет место. Важной проверкой этой модели является пропорциональность между j0 и r. Ступенька тока пропорциональна скорости развертки поля. При положительном напряжении на затворе структуры n-типа появляется ступенька тока. Когда образцы p-типа и уже подвергнутые нагрузке структуры n-типа смещены отрицательно, ступеньки нет. Токи меньше для этой полярности, и ступенька появляется при больших токах и полях, чем возможно получить экспериментально. При приложении постоянного поля ток уменьшается со временем в соответствии с моделью захвата. Положительный C-V-cдвиг указывает на отрицательный заряд в окисле. С-V-сдвиги больше, чем сдвиги кривой Фаулера-Нордгейма, из чего следует, что заряд расположен очень близко к границе раздела Si-SiO2.

МОП структуры на подложках p–типа с различными толщинами окисла (меньше чем 200 Å) и алюминиевым затвором подвергались постоянному току Фаулера-Нордгейма с от-

10

рицательным смещением затвора /3/. Под действием постоянной токовой нагрузки сдвиг высокочастотной C–V- характеристики отрицателен, а сдвиг напряжения плоских зон не насыщается даже при большом инжекционном заряде F, как видно на рисунке 1. Для объяснения этого поведения авторы предложили выражение

VFB=A[(1–exp(– F)]+KF.

(10)

Первый член характеризует захват дырок на уже существующие ловушки, а второй член учитывает генерацию ловушек под действием нагрузки. Электронная инжекция под действием высокого поля вызывает ударную ионизацию, которая ге-

VFB

205 Å

-12

170 Å

-9

-6

115 Å

-3

0

0 2 4 6 Qinj, 1018 см-2

Рис. 1. Сдвиг напряжения плоских зон для различных толщин оксида

нерирует электронно-дырочные пары в пленке окисла. Электроны и дырки дрейфуют раздельно под действием поля, а часть дырок захватывается объемными ловушками. Подгоноч-

ный параметр А примерно пропорционален квадрату толщины

11

SiO2. Это может быть объяснено однородным распределением ловушек в окисле. Величина KF выражает генерацию новых ловушек с ростом инжектированного заряда F. Как сечение захвата, так и коэффициент К быстро уменьшаются с уменьшением толщины SiO2. Из результатов низкочастотных C-V- характеристик вытекает, что поверхностные состояния с очень высокой плотностью 1013 см2эВ-1 генерируются в широком диапазоне энергий запрещенной зоны.

Существуют два пика в распределении поверхностных состояний – 0,25 и 0,45 эВ ниже дна зоны проводимости. Сгенерированные поверхностные состояния не насыщаются с ростом инжектированного заряда. Зависимость VFB от электрического поля рассмотрена на базе модели ударной ионизации – рекомбинации. Исходя из этой модели авторы выводят выражение для А

А= – ( q/2 ox )T2ox,

(11)

где – коэффициент ударной ионизации;

– коэффициент за-

хвата дырок, равный отношению числа захваченных дырок к числу сгенерированных дырок; ox – диэлектрическая проницаемость окисла кремния; Tox – толщина окисла кремния.

Таким образом, очевидна квадратичная зависимость коэффициента А от толщины окисла кремния, что говорит об однородном распределении объемных ловушек в окисле.

Особенностью тонких пленок (до 200 Å) является уменьшение сечения захвата с уменьшением толщины окисла. Часть захваченного заряда располагается внутри расстояния туннелирования от границ раздела. Этот заряд может разряжаться посредством механизма туннелирования на электроды. Сечение захвата таких ловушек меньше, чем тех, которые не могут разряжаться. С уменьшением толщины окисла отношение числа таких ловушек к полному их числу растет, поэтому можно ожидать уменьшение сечения захвата с уменьшением толщины окисла.

МОП структуры на кремнии n-типа, с толщиной окисла 60–200 Å, с поликремниевым (легирование мышьяком или

1312

фосфором) или алюминиевым затвором подвергались нагрузке, вызванной туннельной инжекцией Фаулера-Нордгейма /4/. Захват электронов не зависит от полярности приложенного постоянного напряжения. Другой механизм старения границ раздела (SiO2–Si и затвор–SiO2) – деградация, вызванная генерацией новых центров в окисле. Новые электрически активные центры проявляют себя как стабильные электронные ловушки. Индуцированные центры имеют очень большое сечение захвата. Они заполняются по мере того, как создаются. Такое поведение можно объяснить наличием нестабильных кристаллографических дефектов, которые вначале неактивны и их конфигурация изменяется электронной инжекцией. Эффект, описанный в работе, проявлялся в случае различных технологий получения МДП структуры (сухой или влажной, с или без HCl) и с применением различных видов металлизации.

Параметры захвата существующих и генерированных ловушек определяются методом, основанном на внезапном изменении направления протекания тока Фаулера – Нордгейма в МДП структуре, что характерно для циклов запись – стирание в элементах памяти. В эксперименте были использованы образцы с толщиной SiO2 120 и 150 Å. Уже существующие центры в МОП структурах имеют очень малое поперечное сечение захвата ( =5 10–20 см2), которое растет как с увеличением поля, так и температуры. Эти центры являются отталкивающими кулоновскими центрами. Их плотность варьируется между 1011 и 1013 см–2. Это собственные дефекты в Si–SiO2 структуре.

Новые центры создаются и заполняются сразу в течение действия нагрузки. Ловушки локализованы вблизи катода. Скорость образования ловушек зависит от температуры

r r exp(

EA

) .

(12)

 

0

kT

 

 

 

 

 

Эти ловушки могут быть связаны с собственными дефектами, такими как напряженные или разорванные электрическим полем и горячими электронами связи Si–O.

Деструктивный пробой инициируется формированием индуцированных ловушек. Это имеет место, когда их плотность достаточно велика, особенно вблизи границы раздела

Si–SiO2.

Плотность поверхностных состояний растет согласно NSS=R(Ninj) , где =0,25 для структуры с поликремниевым затвором и 0,75 с алюминиевым затвором.

Центроид индуцированных ловушек расположен вблизи инжекционной границы раздела на расстоянии меньше, чем 30 Å. Скорость генерации уменьшается с ростом толщины окисла. Отрицательные сдвиги напряжения плоских зон растут в 5–10 раз быстрее для алюминиевого затвора, чем для поли-Si и зависят от наличия воды в окисле.

Линейная зависимость VFB от tox2 - не необходимое следствие однородного распределения заряда в SiO2. Скорее она подтверждает явление диффузии неизвестных частиц X из объема SiO2 к границе раздела Si–SiO2, которое может усилить образование медленных состояний, таких, как индуцированные инжекцией центры захвата. Инжектированные электроны могут разрывать некоторые химические группы, такие как SiH, SiOH или Al–H. Так могут формироваться новые поверхностные состояния и междоузельные атомы водорода, которые могут диффундировать в объеме SiO2. Они могут реагировать со свободными связями кремния или кислорода, что приводит к образованию центров захвата.

В работе /5/ исследовались свойства термического SiO2 методом эмиссии Фаулера-Нордгейма на постоянном токе. Были использованы структуры p-типа с толщиной окисла 610 Å. Захват заряда замечен, когда ток через структуру больше 1 10–6 А/см2, независимо от полярности напряжения на затворе. Как положительный заряд, захваченный под действием отрицательного напряжения, так и отрицательный заряд, захваченный при положительном напряжении, зависят от инжектированного заряда, но не от приложенного напряжения затвора. Эти захваченные заряды локализованы вблизи границы Si– SiO2.

14

Эффективность захвата заряда =dNtrapp/dNinj уменьшается с ростом Ninj и меняется инверсно, начиная с Ninj=1018 см- 2. Эффективность захвата отрицательного заряда ниже, чем для положительного заряда.

Сдвиг напряжения плоских зон зависит от тока через окисел. При этом знак и величина сдвига напряжения плоских зон при отрицательном напряжении затвора отличается от сдвига напряжения плоских зон при положительном напряжении. В первом случае сдвиг всегда отрицательный и заметен с ростом поля. Во втором случае наблюдался как отрицательный, так и положительный сдвиг напряжения плоских зон.

При отрицательном смещении захваченный положительный заряд возможно образуется от туннелирования горячих дырок, генерированных горячими электронами, входящими в подложку из окисла.

Ток Фаулера-Нордгейма при отрицательном смещении уменьшается со временем. Это означает уменьшение поля вблизи или на границе SiO2 и затворного электрода, связанное с образованием отрицательного заряда.

При положительном смещении напряжение плоских зон сначала отрицательно и затем со временем становится положительным. Это говорит о том, что образуется как отрицательный, так и положительный заряд. Положительный заряд доминирует около 10 с, а затем заметно накопление отрицательного заряда. Значительная часть захваченного отрицательного заряда, вероятно, связана с небольшим количеством воды, остающейся в SiO2. При положительном смещении ток Фауле- ра-Нордгейма уменьшается плавно со временем. Это показывает, что образование отрицательного заряда влияет на поле на расстоянии 30–50 Å от границы раздела Si-SiO2, также и положительного заряда гораздо дальше от границы раздела. Ток Фаулера-Нордгейма и захваченный заряд влияют друг на друга: первый индуцирует последний, а последний вызывает спад первого.

Положительный заряда возникает из-за ионизирующих столкновений инжектированных электронов со связанными

15

электронами и последующего захвата относительно неподвижных дырок.

Авторы, используя простую форму тока ФаулераНордгейма, получили обратную зависимость эффективности захвата от инжектированного заряда

Ninj-1.

(13)

В работе /6/ исследовались МОП структуры на кремнии n- и p-типа с толщиной окисла 100–500 Å с алюминиевым и поликремниевым затворами на постоянном токе. Наблюдалось создание и заполнение новых центров, индуцированных инжекцией Фаулера-Нордгейма из подложки. Они формируются вблизи катода на расстоянии 30 Å от границы раздела в соответствии с термически активируемым процессом. Их плотность растет пропорционально величине инжектированного заряда вплоть до деструктивного пробоя. Их концентрация при пробое не зависит от температуры.

Инжекция индуцирует плавную деградацию Si–SiO2 границы раздела, где генерируются медленные и быстрые поверхностные состояния. Поперечное сечение захвата быстрых поверхностных состояний около 10–15 см2. Их плотность растет как функция инжектированного заряда. Медленные поверхностные состояния на границе Si–SiO2 донорного типа и ответственны за нестабильность C-V-характеристики.

Сдвиг напряжения плоских зон минимален для поликремниевого затвора и максимален для алюминиевого затвора и влажных окиселов.

Авторами наблюдалась зависимость сдвига напряжения плоских зон от толщины окисла как (tox)2. Такая зависимость – не необходимое следствие однородно распределенного заряда в SiO2. Измерения фототока показали, что этот положительный заряд расположен вблизи Si–SiO2 границы раздела. Это скорее всего показывает процесс генерации, который инициируется в объеме SiO2. Например, химические частицы могут создаваться, затем диффундируют к границам раздела и генерируют поверхностные состояния. Влияние воды на генерацию поверх-

16

ностных состояний подтверждает, что физико-химические процессы существенно контролируются частицами, связанными с водородом. SiOH ведет себя как электронный акцептор, а SiH действует как электронный донор (ловушка для дырок). Другое важное различие между SiOH и SiH то, что связь Si–H слабее, чем каждая из Si–O или O–H связи, поэтому легче разрываются различными нагрузками (облучение, горячая обработка, электрическое поле, инжекция). Реакции диссоциации SiH и SiOH на Si–SiO2 границе раздела приводят к генерации трехвалентных атомов Si или кислородных свободных связей SiO , которые являются электрически активными дефектами. Эти реакции могут быть следующими

SiH + e

Si + H + e;

SiOH + e

Si + OH + e;

SiOH + e

SiO + H + e;

SiH + H

Si + H2;

SiH + h+

Si+ + H,

где e– инжектированный электрон, который может разорвать связи Si–H или Si–O–H. Siи SiOдействуют как быстрые поверхностные состояния. Si+ –трехвалентные атомы кремния формируют наблюдаемый положительный заряд в течение инжекции. Эти дефекты способны обмениваться электронами с кремниевой подложкой туннельными переходами. Они действуют как медленные поверхностные состояния и дают большой вклад в нестабильность характеристик МОП структур. H – междоузельный водород, который освобождается в результате реакций. Он может освобождаться в объеме SiO2 последовательно с захватом электронов гидроксильными группами

SiOH + eSiO+ H.

Водород может диффундировать к Si–SiO2 границе раздела и реагировать с SiH связями и формировать активные трехвалентные атомы кремния. С другой стороны он может анниги-

лироваться этими самыми дефектами

17

Si+ H SiH.

Авторы /7/ рассмотрели три модели при прохождении тока Фаулера-Нордгейма через структуру: модель захвата первого порядка, модель торможения захвата при кулоновском отталкивании, модель генерации ловушек.

Модель захвата первого порядка предполагает, что электроны захватываются при инжекции в независимом случайном процессе, пренебрегается кулоновским взаимодействием между захваченными и незахваченными зарядами, разрядка пренебрежимо мала. Интенсивность захвата дается простой скоростью реакции первого порядка

dn

(n0

n

Jvth

,

(14)

dt

qvd

 

 

 

 

где n0n – число незаполненных ловушек; (J/q)(vth/vd) – плотность потока электронов; vth/vd отношение термической скорости и дрейфовой скорости; – сечение захвата ловушки.

Решение этого уравнения дает хорошо известное выражение релаксации

n n0

1 exp ζ

Q vth

,

(15)

q

 

vd

 

 

 

 

 

t

где Q Jdt – полный поток носителей заряда через единицу

0

площади.

Модель торможения захвата при кулоновском отталкивании предусматривает, что захват заряда менее вероятен на местах, близких к заполненным ловушкам из-за кулоновского отталкивания. Вероятность захвата уменьшается, когда возрастает плотность пространственного заряда. Простой вывод об уменьшении вероятности захвата может быть получен при допущении, что заполненные ловушки инактивируют фиксированный объем h окисла от дальнейшего захвата. Свободный

18

объем, в котором имеет место захват, равен V-h, где V – полный объем диэлектрика. Необходимо решить уравнение

 

dn

(n0

 

n

 

Jvth

 

exp

nh

.

(16)

 

dt

 

 

qvd

 

V

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

В простой форме решение этого уравнения записывает-

ся как

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

n

 

 

V

ln

 

Q

 

 

 

1 ,

 

 

(17)

 

 

 

 

 

 

Q*

 

 

 

 

 

 

 

 

h

 

 

 

 

 

 

 

 

 

где

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Q

*

 

 

 

V

 

 

 

vd

 

 

q

.

 

 

 

(18)

 

 

 

 

 

 

 

n0 h vth

 

ζ

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Была предложена модель генерации ловушек в виде

дифференциального уравнения

 

 

 

 

 

 

 

 

 

 

dn

 

n exp

 

 

 

E

 

 

Jζ

,

 

 

(19)

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

dt

 

 

0

 

 

 

 

 

E1

 

 

q

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

где Е1 и n0 – постоянные.

С учетом искажения поля на инжекционном электроде захваченным зарядом решение дифференциального уравнения приводит к

 

εE

n

0

ζQx

 

Eappl

 

 

n

1

ln 1

 

 

exp

 

,

(20)

 

 

 

 

 

 

qx

 

εE1

 

E1

 

 

где – диэлектрическая проницаемость окисла кремния; x – центроид захваченного заряда; Eappl – исходное поле, приложенное к окислу кремния.

В работе /8/ развита модель полевой (туннельной) генерации положительного заряда в термическом окисле кремния структур Si–SiO2 в условиях сильного электрического поля и проведен численный расчет основных характеристик накопленного заряда: кинетических VFB(t), толщинных, амперсекундных зависимостей. Показано, что модель удовлетвори-

19

тельно объясняет многие экспериментально наблюдаемые данные, а механизм туннельной ионизации должен учитываться при исследовании накопления заряда в диэлектрике в условиях сильного поля.

Модель туннельной ионизации включает положение, что нейтральные ловушки в диэлектрике с энергетической глубиной t могут освобождать электроны путем туннелирования в зону проводимости диэлектрика в сильном электрическом поле Е . Вероятность туннельной ионизации Р без учета изгиба зон

 

 

 

 

 

 

P ν exp

 

 

 

G

 

,

 

 

 

(21)

 

 

 

 

 

 

 

 

 

E

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

* 1/ 2

3/ 2

 

 

*

где – частотный фактор; G = 4(2m )

t

3qh; m – эффек-

тивная масса электрона; q

 

заряд электрона;

2 h – постоян-

ная Планка.

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Полная система дифференциальных уравнений, которая

определяет предложенную модель, следующая

 

 

dE

 

 

q( pt

 

n)

;

 

 

 

 

 

 

 

 

 

 

 

dx

 

 

εε 0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

dpt

 

 

ν exp

 

G

 

(N t

pt )

ζ r

 

j

pt ;

 

dt

 

 

E

 

 

q

 

 

 

 

 

 

 

 

 

 

 

 

 

 

(22)

 

1 dj

 

 

dpt

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

;

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

q dx

 

 

dt

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

jinj

 

BEc2 exp

 

 

 

β

,

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Ec

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

где Е – электрическое поле;

pt – концентрация ионизирован-

ных ловушек; Nt – полная концентрация ловушек; r поперечное сечение захвата электронов; B и – постоянные тока Фаулера-Нордгейма.

Система решается численными методами из-за нелинейности. Решение характеризуется наличием ускоряющей

20