Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
dsd1-10 / dsd-07=Verilog / Verilog_lec.doc
Скачиваний:
121
Добавлен:
05.06.2015
Размер:
346.62 Кб
Скачать

5.35. Приоритет операторов

Ниже рассматривается приоритет операторов Verilog.

Оператор

Приоритет

+ - ! ~ (unary)

Высший

* / %

+ - (binary)

<< >>

< <= > >=

== != === !==

& ~&

^ ^~

| ~|

&&

||

?: (conditional)

низший

5.36. Ключевые слова (Keywords )

Ниже приведены ключевые слова языка verilog в алфавитном порядке. Естественно, их нельзя использовать для имен вентилей, модулей, портов и т.п.

always

endfunction

macromodule

rcmos

tran

and

endmodule

medium

real

tranif0

assign

endprimitive

module

realtime

tranif1

attribute

endspecify

nand

reg

tri

begin

endtable

negedge

release

tri0

buf

endtask

nmos

repeat

tri1

bufif0

event

nor

rtranif1

triand

bufif1

for

not

scalared

trior

case

force

notif0

signed

trireg

casex

forever

notif1

small

unsigned

casez

fork

or

specify

vectored

cmos

function

output

specpram

wait

deassign

highz0

parameter

strength

wand

default

highz1

pmos

strong0

weak0

defpram

if

posedge

strong1

weak1

disable

initial

primitive

supply0

while

edge

inout

pull0

supply1

wire

else

input

pull1

table

wor

end

integer

pulldown

task

xnor

endattribute

join

pullup

time

xor

endcase

large

5.37. Директивы компилятора

$bitstoreal

$fopen

$incsave

$monitor

$countdrivers

$fstrobe

$input

$monitoroff

$display

$fwrite

$itor

$monitoron

$fclose

$finish

$key

$nokey

$fdisplay

$getpattern

$list

$fmonitor

$history

$log

5.38. Типы цепей (Net Types)

supply0

tri

trior

tri0

wand

wor

supply1

triand

trireg

tri1

wire

35

Соседние файлы в папке dsd-07=Verilog