Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

2 Семестр / Отчеты / 2 варик / lb1_данные_удалены

.pdf
Скачиваний:
5
Добавлен:
07.06.2022
Размер:
685.08 Кб
Скачать

Министерство науки и высшего образования Российской Федерации

Федеральное государственное бюджетное образовательное учреждение высшего образования

«ТОМСКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ СИСТЕМ УПРАВЛЕНИЯ И РАДИОЭЛЕКТРОНИКИ» (ТУСУР)

Кафедра комплексной информационной безопасности электронновычислительных систем (КИБЭВС)

ЛОГИЧЕСКИЕ ЭЛЕМЕНТЫ

Отчет по лабораторной работе №1 по дисциплине «Электроника и схемотехника»

Вариант №2

Выполнил: Студент гр.

Принял

Томск 2022

Введение

Цель работы: изучить основные инструменты САПР QuartusII и

научиться моделировать в ней работу схем на основе простых логических

элементов.

2

Постановка задачи

Собрать на холсте или разных холстах, bdf и промоделировать работу схем 2И, 2ИЛИ, 2искл.ИЛИ, 2И-НЕ и 2ИЛИ-НЕ по аналогии с примером в методических указаниях в двух режимах.

Описать логические элементы из п.1 на HDL согласно 2 варианту задания в одном или разных модулях, в зависимости от того, как выполнялся п.1, и промоделировать эти схемы.

Собрать схему на отдельном холсте. bdf для выражения из таблицы согласно 2 варианту.

Промоделировать её работу в двух режимах. Сделать предположение,

из-за чего возникает разница в результатах моделирования разных режимов и почему.

Выполнить пп. 3-4 только с помощью HDL согласно 2 варианту.

Составить таблицу истинности для выражения из таблицы согласно 2

варианту. Сверить результаты моделирования с составленной таблицей истинности.

Написать выводы о проделанной работе.

3

 

 

1.

УСТРОЙСТВО 2И

 

Таблица 1.1 Таблица истинности 2И

 

 

 

 

Вход

 

Выход

 

 

 

X

X2

Y

 

 

 

0

0

0

 

 

 

0

1

0

 

 

 

1

0

0

 

 

 

1

1

1

 

 

 

Запись формулы схемы:

= 2

Функциональная схема:

Рисунок 1.1 – Функциональная схема 2И

Код на HDL: module elements( input x,x2, output y,); assign y = x & x2; endmodule

Моделирование:

4

Рисунок 1.2 – Моделирование 2И

Рисунок 1.3 – Функциональный режим

В функциональном режиме представлено идеальное изображение, а в тайминг режиме видны задержки

5

2.

УСТРОЙСТВО 2ИЛИ

 

Таблица 2-Таблица истинности 2ИЛИ

 

 

 

 

 

Вход

 

 

Выход

 

 

 

 

X3

 

X4

Y1

 

 

 

 

0

 

0

0

 

 

 

 

0

 

1

1

 

 

 

 

1

 

0

1

 

 

 

 

1

 

1

1

 

 

 

 

Запись формулы схемы:

= 3 4

Функциональная схема:

Рисунок 2.1 – Функциональная схема 2ИЛИ

Код на HDL: module elements( input,x3,x4, output y1); assign y1 = x3 | x4; endmodule

Моделирование

6

Рисунок 2.2 – Моделирование 2ИЛИ

Рисунок 2.3 – Функциональный режим

3. УСТРОЙСТВО 2ИСКЛ.ИЛИ

Таблица 3-Таблица истинности 2искл.ИЛИ

Вход

 

Выход

 

 

 

X5

X6

Y2

 

 

 

0

0

0

 

 

 

0

1

1

 

 

 

1

0

1

 

 

 

1

1

0

 

 

 

Запись формулы схемы:

2 = 5 6

7

Функциональная схема:

Рисунок 3.1 – Функциональная схема 2искл.ИЛИ

Код на HDL: module elements( input x5,x6, output y3); assign y2 = x5 ^ x6; endmodule

Моделирование:

Рисунок 3.2 – Моделирование 2искл.ИЛИ

Рисунок 3.3 – Функциональный режим

8

4.

УСТРОЙСТВО 2И – НЕ

 

Таблица 4-Таблица истинности 2И-НЕ

 

 

 

 

 

 

Вход

 

 

 

Выход

 

 

 

 

 

X7

 

X8

 

Y3

 

 

 

 

 

0

 

0

 

1

 

 

 

 

 

0

 

1

 

1

 

 

 

 

 

1

 

0

 

1

 

 

 

 

 

1

 

1

 

0

 

 

 

 

 

Запись формулы схемы:

3 = ̅7̅̅̅̅8̅

Функциональная схема:

Рисунок 4.1 – Функциональная схема 2И-НЕ

Код на HDL: module elements( input x7,x8, output y3); assign y3 = ~(x7 & x8); endmodule

Моделирование:

9

Рисунок 4.2 – Моделирование 2И-НЕ

Рисунок 4.3 – Функциональный режим

10

Соседние файлы в папке 2 варик