Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

2 Семестр / Отчеты / 2 варик / lb8_данные_удалены

.pdf
Скачиваний:
28
Добавлен:
07.06.2022
Размер:
3.15 Mб
Скачать

Министерство науки и высшего образования Российской Федерации Федеральное государственное бюджетное образовательное учреждение высшего образования

«ТОМСКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ СИСТЕМ УПРАВЛЕНИЯ И РАДИОЭЛЕКТРОНИКИ» (ТУСУР)

Кафедра комплексной информационной безопасности электронно-

вычислительных систем (КИБЭВС)

РАЗРАБОТКА ВЫЧИСЛИТЕЛЬНОГО БЛОКА

Отчет по лабораторной работе №8

по дисциплине «Электроника и схемотехника» Вариант №2

Студент гр.

Руководитель

Томск 2022

Введение

Целью данной работы является получение навыков совместного применения устройств средней степени интеграции.

Поставленные задачи:

Собрать схему реализации вычислительного блока, выполняющего заданные операции и осуществляющего прием входных данных (нарастающий фронт, последовательный код младшими разрядами вперед, асинхронный сброс)

и выдачу результата (убывающий фронт, параллельный код, активный уровень сигнала «read» – 0). Описать устройство на VHDL и промоделировать оба варианта при помощи плана моделирования.

2

1 ОБОБЩЕННАЯ ФУНКЦИОНАЛЬНАЯ СХЕМА

На рисунке 1.1 представлена обобщенная функциональная схема

устройства.

Рисунок 1.1 – Обобщенная функциональная схема

Вычислительный блок на вход поучает информационный сигнал input,

тактирующий сигнал C_ext и сигнал асинхронного сброса CLR, на выходе блок выдает результаты проведенные с данными out[5] и сигнал выходной синхронизации при подаче активного уровня сигнала nreadd. Входной блок,

получая данные определяет команду, которую нужно выполнить с ними. Блок операций выполняет операции OP1, OP2, OP3 и считает количество выполненных операций. Выходной блок выдает параллельным кодом в виде пятиразрядного числа out и генерирует тактовый сигнал C_out.

3

2ТАБЛИЦЫ ФУНКЦИОНИРОВАНИЯ

Втаблице 2.1 представлена таблица истинности логической операции

A ∩ B.

 

 

 

 

Таблица

 

2.1

Таблица

истинности

 

операции

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

A ∩ B

.

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

A ∩ B

 

 

 

 

 

 

 

 

 

Код

 

 

 

 

Входы

 

 

Выходы

 

 

операции

 

A2

 

A1

A0

 

B2

B1

B0

Q2

Q1

 

Q0

 

1

 

0

 

0

 

0

0

 

0

0

0

0

0

 

0

 

1

 

0

 

0

 

0

0

 

0

0

1

0

0

 

1

 

1

 

0

 

0

 

0

0

 

0

1

0

0

1

 

0

 

1

 

0

 

0

 

0

0

 

0

1

1

0

1

 

1

 

1

 

 

 

 

0

 

0

 

0

0

 

1

0

0

1

0

 

0

 

1

 

 

 

 

0

 

0

 

0

0

 

1

0

1

1

0

 

1

 

1

 

 

 

 

0

 

0

 

0

0

 

1

1

0

1

1

 

0

 

1

 

 

 

 

0

 

0

 

0

0

 

1

1

1

1

1

 

1

 

1

 

 

 

 

0

 

0

 

0

1

 

0

0

0

0

0

 

0

 

1

 

 

 

 

0

 

0

 

0

1

 

0

0

1

0

0

 

0

 

1

 

 

 

 

0

 

0

 

0

1

 

0

1

0

0

1

 

0

 

1

 

 

 

 

0

 

0

 

0

1

 

0

1

1

0

1

 

0

 

1

 

 

 

 

0

 

0

 

0

1

 

1

0

0

1

0

 

0

 

1

 

 

 

 

0

 

0

 

0

1

 

1

0

1

1

0

 

0

 

1

 

 

 

 

0

 

0

 

0

1

 

1

1

0

1

1

 

0

 

1

 

 

 

 

0

 

0

 

0

1

 

1

1

1

1

1

 

0

 

1

 

 

 

 

0

 

0

 

1

0

 

0

0

0

0

0

 

0

 

1

 

 

 

 

0

 

0

 

1

0

 

0

0

1

0

0

 

1

 

1

 

 

 

 

0

 

0

 

1

0

 

0

1

0

0

0

 

0

 

1

 

 

 

 

0

 

0

 

1

0

 

0

1

1

0

0

 

1

 

1

 

 

 

 

0

 

0

 

1

0

 

1

0

0

1

0

 

0

 

1

 

 

 

 

0

 

0

 

1

0

 

1

0

1

1

0

 

1

 

1

 

 

 

 

0

 

0

 

1

0

 

1

1

0

1

0

 

0

 

1

 

 

 

 

0

 

0

 

1

0

 

1

1

1

1

0

 

1

 

1

 

 

 

 

0

 

0

 

1

1

 

0

0

0

0

0

 

0

 

1

 

 

 

 

0

 

0

 

1

1

 

0

0

1

0

0

 

0

 

1

 

 

 

 

0

 

0

 

1

1

 

0

1

0

0

0

 

0

 

1

 

 

 

 

0

 

0

 

1

1

 

0

1

1

0

0

 

0

 

1

 

 

 

 

0

 

0

 

1

1

 

1

0

0

1

0

 

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

4

 

 

 

 

 

 

 

 

Продолжение таблицы 2.1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

0

 

0

 

1

 

1

 

1

 

0

 

1

 

1

 

0

 

0

 

1

 

 

 

 

 

 

 

 

 

 

 

1

 

0

 

0

 

1

 

1

 

1

 

1

 

0

 

1

 

0

 

0

 

1

 

0

 

0

 

1

 

1

 

1

 

1

 

1

 

1

 

0

 

0

 

1

 

0

 

1

 

0

 

0

 

0

 

0

 

0

 

0

 

0

 

0

 

1

 

0

 

1

 

0

 

0

 

0

 

0

 

1

 

0

 

0

 

1

 

1

 

0

 

1

 

0

 

0

 

0

 

1

 

0

 

0

 

1

 

0

 

1

 

0

 

1

 

0

 

0

 

0

 

1

 

1

 

0

 

1

 

1

 

1

 

0

 

1

 

0

 

0

 

1

 

0

 

0

 

0

 

0

 

0

 

1

 

0

 

1

 

0

 

0

 

1

 

0

 

1

 

0

 

0

 

1

 

1

 

0

 

1

 

0

 

0

 

1

 

1

 

0

 

0

 

1

 

0

 

1

 

0

 

1

 

0

 

0

 

1

 

1

 

1

 

0

 

1

 

1

 

1

 

0

 

1

 

0

 

1

 

0

 

0

 

0

 

0

 

0

 

0

 

1

 

0

 

1

 

0

 

1

 

0

 

0

 

1

 

0

 

0

 

0

 

1

 

0

 

1

 

0

 

1

 

0

 

1

 

0

 

0

 

1

 

0

 

1

 

0

 

1

 

0

 

1

 

0

 

1

 

1

 

0

 

1

 

0

 

1

 

0

 

1

 

0

 

1

 

1

 

0

 

0

 

0

 

0

 

0

 

1

 

0

 

1

 

0

 

1

 

1

 

0

 

1

 

0

 

0

 

0

 

1

 

0

 

1

 

0

 

1

 

1

 

1

 

0

 

0

 

1

 

0

 

1

 

0

 

1

 

0

 

1

 

1

 

1

 

1

 

0

 

1

 

0

 

1

 

0

 

1

 

1

 

0

 

0

 

0

 

0

 

0

 

0

 

0

 

1

 

0

 

1

 

1

 

0

 

0

 

0

 

1

 

0

 

0

 

1

 

1

 

0

 

1

 

1

 

0

 

0

 

1

 

0

 

0

 

0

 

0

 

1

 

0

 

1

 

1

 

0

 

0

 

1

 

1

 

0

 

0

 

1

 

1

 

0

 

1

 

1

 

0

 

1

 

0

 

0

 

0

 

0

 

0

 

1

 

0

 

1

 

1

 

0

 

1

 

0

 

1

 

0

 

0

 

1

 

1

 

0

 

1

 

1

 

0

 

1

 

1

 

0

 

0

 

0

 

0

 

1

 

0

 

1

 

1

 

0

 

1

 

1

 

1

 

0

 

0

 

1

 

1

 

0

 

1

 

1

 

1

 

0

 

0

 

0

 

0

 

0

 

0

 

1

 

0

 

1

 

1

 

1

 

0

 

0

 

1

 

0

 

0

 

0

 

1

 

0

 

1

 

1

 

1

 

0

 

1

 

0

 

0

 

0

 

0

 

1

 

0

 

1

 

1

 

1

 

0

 

1

 

1

 

0

 

0

 

0

 

1

 

0

 

1

 

1

 

1

 

1

 

0

 

0

 

0

 

0

 

0

 

1

 

0

 

1

 

1

 

1

 

1

 

0

 

1

 

0

 

0

 

0

 

1

 

0

 

1

 

1

 

1

 

1

 

1

 

0

 

0

 

0

 

0

 

1

 

0

 

1

 

1

 

1

 

1

 

1

 

1

 

0

 

0

 

0

 

В таблице 2.2 представлена таблица арифметической операции А-В.

5

Таблица 2.2 – Таблицы истинности логической операции А-В

A-B

Код операции

 

 

Входы

 

 

 

Выходы

 

A2

A1

A0

B2

B1

B0

Q2

 

Q1

Q0

 

 

 

1

1

0

0

0

0

0

0

0

 

0

0

1

1

0

0

0

0

0

1

1

 

1

1

1

1

0

0

0

0

1

0

1

 

1

0

1

1

0

0

0

0

1

1

1

 

0

1

1

1

0

0

0

1

0

0

1

 

0

0

1

1

0

0

0

1

0

1

0

 

1

1

1

1

0

0

0

1

1

0

0

 

1

0

1

1

0

0

0

1

1

1

0

 

0

1

1

1

0

0

1

0

0

0

0

 

0

1

1

1

0

0

1

0

0

1

0

 

0

0

1

1

0

0

1

0

1

0

1

 

1

1

1

1

0

0

1

0

1

1

1

 

1

0

1

1

0

0

1

1

0

0

1

 

0

1

1

1

0

0

1

1

0

1

1

 

0

0

1

1

0

0

1

1

1

0

0

 

1

1

1

1

0

0

1

1

1

1

0

 

1

0

1

1

0

1

0

0

0

0

0

 

1

0

1

1

0

1

0

0

0

1

0

 

0

1

1

1

0

1

0

0

1

0

0

 

0

0

1

1

0

1

0

0

1

1

1

 

1

1

1

1

0

1

0

1

0

0

1

 

1

0

1

1

0

1

0

1

0

1

1

 

0

1

1

1

0

1

0

1

1

0

1

 

0

0

1

1

0

1

0

1

1

1

0

 

1

1

1

1

0

1

1

0

0

0

0

 

1

1

1

1

0

1

1

0

0

1

0

 

1

0

1

1

0

1

1

0

1

0

0

 

0

1

1

1

0

1

1

0

1

1

0

 

0

0

1

1

0

1

1

1

0

0

1

 

1

1

1

1

0

1

1

1

0

1

1

 

1

0

1

1

0

1

1

1

1

0

1

 

0

1

1

1

0

1

1

1

1

1

1

 

0

0

1

1

1

0

0

0

0

0

1

 

0

0

1

1

1

0

0

0

0

1

0

 

1

1

1

1

1

0

0

0

1

0

0

 

1

0

1

1

1

0

0

0

1

1

0

 

0

1

1

1

1

0

0

1

0

0

0

 

0

0

1

1

1

0

0

1

0

1

1

 

1

1

 

 

 

 

 

6

 

 

 

 

 

 

 

 

Продолжение таблицы 2.2

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

1

 

0

 

0

 

1

 

1

 

0

 

1

 

1

 

0

 

 

 

1

 

 

 

 

 

 

 

 

 

 

1

 

1

1

 

0

 

0

 

1

 

1

 

1

 

1

 

0

 

1

 

 

1

 

1

1

 

0

 

1

 

0

 

0

 

0

 

1

 

0

 

1

 

 

1

 

1

1

 

0

 

1

 

0

 

0

 

1

 

1

 

0

 

0

 

 

1

 

1

1

 

0

 

1

 

0

 

1

 

0

 

0

 

1

 

1

 

 

1

 

1

1

 

0

 

1

 

0

 

1

 

1

 

0

 

1

 

0

 

 

1

 

1

1

 

0

 

1

 

1

 

0

 

0

 

0

 

0

 

1

 

 

1

 

1

1

 

0

 

1

 

1

 

0

 

1

 

0

 

0

 

0

 

 

1

 

1

1

 

0

 

1

 

1

 

1

 

0

 

1

 

1

 

1

 

 

1

 

1

1

 

0

 

1

 

1

 

1

 

1

 

1

 

1

 

0

 

 

1

 

1

1

 

1

 

0

 

0

 

0

 

0

 

1

 

1

 

0

 

 

1

 

1

1

 

1

 

0

 

0

 

0

 

1

 

1

 

0

 

1

 

 

1

 

1

1

 

1

 

0

 

0

 

1

 

0

 

1

 

0

 

0

 

 

1

 

1

1

 

1

 

0

 

0

 

1

 

1

 

0

 

1

 

1

 

 

1

 

1

1

 

1

 

0

 

1

 

0

 

0

 

0

 

1

 

0

 

 

1

 

1

1

 

1

 

0

 

1

 

0

 

1

 

0

 

0

 

1

 

 

1

 

1

1

 

1

 

0

 

1

 

1

 

0

 

0

 

0

 

0

 

 

1

 

1

1

 

1

 

0

 

1

 

1

 

1

 

1

 

1

 

1

 

 

1

 

1

1

 

1

 

1

 

0

 

0

 

0

 

1

 

1

 

1

 

 

1

 

1

1

 

1

 

1

 

0

 

0

 

1

 

1

 

1

 

0

 

 

1

 

1

1

 

1

 

1

 

0

 

1

 

0

 

1

 

0

 

1

 

 

1

 

1

1

 

1

 

1

 

0

 

1

 

1

 

1

 

0

 

0

 

 

1

 

1

1

 

1

 

1

 

1

 

0

 

0

 

0

 

1

 

1

 

 

1

 

1

1

 

1

 

1

 

1

 

0

 

1

 

0

 

1

 

0

 

 

1

 

1

1

 

1

 

1

 

1

 

1

 

0

 

0

 

0

 

1

 

 

1

 

1

1

 

1

 

1

 

1

 

1

 

1

 

0

 

0

 

0

 

В таблице 2.3 представлена таблица преобразования двоичного кода в код

МТК-2.

Таблица 2.3 – Таблица преобразования кода в код МТК-2

 

Код

Значение

 

Входы

 

 

 

Выходы

 

 

операции

X3

X2

X1

X0

Y4

Y3

 

Y2

 

Y1

Y0

 

 

 

0

 

1

0

0

0

0

0

0

1

 

1

 

0

1

0

 

1

1

0

0

0

1

1

1

 

1

 

0

1

0

 

1

2

0

0

1

0

1

1

 

0

 

0

1

0

 

1

3

0

0

1

1

1

0

 

0

 

0

0

0

 

1

4

0

1

0

0

0

1

 

0

 

1

0

 

 

 

 

 

 

7

 

 

 

 

 

 

 

 

 

 

Продолжение таблицы 2.3

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

0

 

1

 

5

 

 

1

 

0

 

1

 

0

 

0

 

0

 

0

 

1

 

 

 

 

0

 

 

 

 

 

 

 

 

 

 

0

 

1

 

6

0

 

1

 

1

 

0

 

1

 

0

 

1

 

0

 

1

 

 

0

 

1

 

7

0

 

1

 

1

 

1

 

1

 

1

 

1

 

0

 

0

 

 

0

 

1

 

8

1

 

0

 

0

 

0

 

0

 

1

 

1

 

0

 

0

 

 

0

 

1

 

9

1

 

0

 

0

 

1

 

0

 

0

 

0

 

1

 

1

 

 

0

 

1

 

Возврат

1

 

0

 

1

 

0

 

0

 

0

 

0

 

1

 

0

 

 

 

 

каретки

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

0

 

1

 

Перевод

1

 

0

 

1

 

1

 

0

 

1

 

0

 

0

 

0

 

 

 

 

строки

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

0

 

1

 

Буквы

1

 

1

 

0

 

0

 

1

 

1

 

1

 

1

 

1

 

 

 

 

латинские

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

0

 

1

 

Цифры

1

 

1

 

0

 

1

 

1

 

1

 

0

 

1

 

1

 

 

0

 

1

 

Пробел

1

 

1

 

1

 

0

 

0

 

0

 

1

 

0

 

0

 

 

0

 

1

 

Буквы

1

 

1

 

1

 

1

 

0

 

0

 

0

 

0

 

0

 

 

 

 

русские

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

8

3 ФУНКЦИОНАЛЬНАЯ СХЕМА

На рисунке 3.1 представлена функциональная схема вычислительного блока.

Рисунок 3.1 – Функциональная схема вычислительного блока

На рисунке 3.2 представлена функциональная схема входного блока.

9

Рисунок 3.2 – Входной блок

Входной блок последовательно принимает данные младшими разрядами вперед и записывает их в регистр. По переднему фронту сигнала C_ext

происходит запись информации с канала input в сдвиговый регистр inst3 и

команды в сдвиговый регистр inst2. Это происходит при помощи входов enable:

для второго запись возможна при поступлении первых двух бит, а для первого – последующих шести бит. В счетчике inst1 ведется счет поступающих сигналов

10