Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

2 Семестр / Отчеты / 2 варик / lb1_данные_удалены

.pdf
Скачиваний:
5
Добавлен:
07.06.2022
Размер:
685.08 Кб
Скачать

5.

УСТРОЙСТВО 2ИЛИНЕ

Таблица 5.1 – Таблица истинности 2ИЛИ-НЕ

 

 

 

 

 

 

 

Вход

 

 

Выход

 

 

 

 

 

 

X9

 

X10

Y4

 

 

 

 

 

 

0

 

0

1

 

 

 

 

 

 

0

 

1

0

 

 

 

 

 

 

1

 

0

0

 

 

 

 

 

 

1

 

1

0

 

 

 

 

 

Запись формулы схемы:

4 = ̅9̅̅̅̅̅10̅̅̅̅

Функциональная схема:

Рисунок 5.1 – Функциональная схема 2ИЛИ-НЕ

Код на HDL: module elements( input x9,x10, output y4); assign y4 = ~(x9 | x10); endmodule

Моделирование:

11

Рисунок 5.2 – Моделирование 2ИЛИ-НЕ

Рисунок 5.3 – Функциональный режим

12

6 УСТРОЙСТВО СОГЛАСНО ВАРИАНТУ №12

Таблица 6.1 – Таблица истинности 12 варианта

Вход

 

 

 

 

 

Выход

 

 

 

 

 

 

 

X11

W

Y5

Z

-(x*y)

-(x*y) z

F

 

 

 

 

 

 

 

0

0

0

0

1

1

1

 

 

 

 

 

 

 

0

0

0

1

1

0

0

 

 

 

 

 

 

 

0

0

1

0

1

1

1

 

 

 

 

 

 

 

0

0

1

1

1

0

0

 

 

 

 

 

 

 

0

1

0

0

1

1

0

 

 

 

 

 

 

 

0

1

0

1

1

0

1

 

 

 

 

 

 

 

0

1

1

0

1

1

0

 

 

 

 

 

 

 

0

1

1

1

1

0

1

 

 

 

 

 

 

 

1

0

0

0

1

1

1

 

 

 

 

 

 

 

1

0

0

1

1

0

0

 

 

 

 

 

 

 

1

0

1

0

1

1

0

 

 

 

 

 

 

 

1

0

1

1

1

0

1

 

 

 

 

 

 

 

1

1

0

0

0

0

0

 

 

 

 

 

 

 

1

1

0

1

0

1

1

 

 

 

 

 

 

 

1

1

1

0

0

0

1

 

 

 

 

 

 

 

1

1

1

1

0

1

0

 

 

 

 

 

 

 

Запись формулы схемы:

Функциональная схема

13

Рисунок 6.1 – Функциональная схема 12 варианта

Код на HDL : module elements( input x,x2,x3,x4,x5,x6,x7,x8,x9,x10,x11,w,z,y5, output y,y1,y2,y3,y4,F); assign F = x11 & y5 | z & w;; endmodule

Моделирование:

Рисунок 6.2 – Моделирование 12 вариант

Рисунок 6.3 – Функциональный режим

14

Заключение

Были собраны на разных холстах, bdf и промоделированы работы схем

2И, 2ИЛИ, 2искл.ИЛИ, 2И-НЕ и 2ИЛИ-НЕ по аналогии с примером в методических указаниях в двух режимах.

Были описаны логические элементы из п.1 на HDL согласно 2 варианту задания в одном модуле в зависимости от того, как выполнялся п.1, и

промоделировать эти схемы.

Была собрана схема на отдельном холсте. bdf для выражения из таблицы согласно 2 варианту.

Промоделирована её работу в двух режимах. Сделано предположение,

из-за чего возникает разница в результатах моделирования разных режимов и почему.

Выполнено пп. 3-4 только с помощью HDL согласно 2 варианту.

Составлена таблицу истинности для выражения из таблицы согласно 2

варианту. Сверены результаты моделирования с составленной таблицей истинности.

Были написаны выводы о проделанной работе.

15

Соседние файлы в папке 2 варик