Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

2 Семестр / Отчеты / 2 варик / LR6_данные_удалены

.pdf
Скачиваний:
10
Добавлен:
07.06.2022
Размер:
1.8 Mб
Скачать

Рисунок 2.6 – Моделирование регистра SIPO (Timing)

Моделирование соответствует таблице истинности, подтверждается асинхронный сброс.

11

3РЕГИСТР ТИПА PIPO

3.1Таблица истинности

Втаблице 3.1 представлена таблица истинности регистра PIPO.

Таблица 3.1 – Таблица истинности регистра PIPO

№ сигнала

1

 

2

3

4

 

P13

x

 

x

a13

x

 

P12

x

 

x

a12

x

 

P11

x

 

x

a11

x

 

P10

x

 

x

a10

x

 

P9

x

 

x

a9

x

 

P8

x

 

x

a8

x

 

P7

x

 

x

a7

x

 

P6

x

 

x

a6

x

 

P5

x

 

x

a5

x

 

P4

x

 

x

a4

x

 

P3

x

 

x

a3

x

 

P2

x

 

x

a2

x

 

P1

x

 

x

a1

x

 

P0

x

 

x

a0

x

Входы

R

0

 

0

x

1

load

0

 

0

1

0

 

 

 

D13

c13

 

b13

x

x

 

D12

c12

 

b12

x

x

 

D11

c11

 

b11

x

x

 

D10

c10

 

b10

x

x

 

D9

c9

 

b9

x

x

 

D8

c8

 

b8

x

x

 

D7

c7

 

b7

x

x

 

D6

c6

 

b6

x

x

 

D5

c5

 

b5

x

x

 

D4

c4

 

b4

x

x

 

D3

c3

 

b3

x

x

 

D2

c2

 

b2

x

x

 

D1

c1

 

b1

x

x

 

D0

c0

 

b0

x

x

 

Q13

c13

 

b13

a13

0

Выходы

Q12

c12

 

b12

a12

0

 

Q11

c11

 

b11

a11

0

 

 

 

12

 

 

Продолжение таблицы 3.1

№ сигнала

1

2

3

4

 

Q10

c10

b10

a10

0

 

Q9

c9

b9

a9

0

 

Q8

c8

b8

a8

0

 

Q7

c7

b7

a7

0

 

Q6

c6

b6

a6

0

Выходы

Q5

c5

b5

a5

0

 

Q4

c4

b4

a4

0

 

Q3

c3

b3

a3

0

 

Q2

c2

b2

a2

0

 

Q1

c1

b1

a1

0

 

Q0

c0

b0

a0

0

3.2Функциональная схема

На рисунке 3.1 представлена функциональная схема регистра PIPO.

Рисунок 3.1 – Функциональная схема регистра PIPO

3.3Моделирование

На рисунке 3.2 представлено моделирование регистра PIPO в режиме

Timing.

13

Рисунок 3.2 – Моделирование регистра PIPO (Timing)

На рисунке 3.3 представлено моделирование регистра PIPO в режиме

Functional.

Рисунок 3.3 – Моделирование регистра PIPO (Functional)

Моделирование соответствует таблице истинности, подтверждается асинхронная загрузка и синхронный сброс.

3.4Код на VHDL

На рисунке 3.4 представлено описание регистра PIPO на VHDL.

14

Рисунок 3.4 – Описание регистра PIPO на VHDL

3.5Схема из RTL viewer для кода VHDL

На рисунке 3.5 представлена схема из RTL viewer для кода VHDL.

15

Рисунок 3.5 – Схема из RTL viewer для кода VHDL

16

3.6Моделирование устройства, описанного кодом VHDL

На рисунке 3.6 представлено моделирование регистра PIPO в режиме

Timing.

Рисунок 3.6 – Моделирование регистра PIPO (Timing)

Моделирование соответствует таблице истинности, подтверждается асинхронная загрузка и синхронный сброс.

17

Заключение

В процессе выполнения работы были построены холсте схемы регистра типа SISO со сдвигом влево, синхронной параллельной загрузкой данных,

асинхронным сбросом и разрядностью в 10 бит, регистра типа SIPO со сдвигом вправо, асинхронным сбросом и разрядностью в 12 бит, регистра типа PIPO с

асинхронной загрузкой данных, синхронным сбросом и разрядностью в 14 бит,

а также описаны на VHDL. Оба варианта были промоделированы.

18