Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

ЭВМ лекции

.pdf
Скачиваний:
63
Добавлен:
08.05.2015
Размер:
2.95 Mб
Скачать

Рис. 13.12 JK-триггер: 4027(2), 4096(3) (74107(2),74109(2), 74376(4), 7472(3), 7476(2))

Часто триггер имеет асинхронные входы R и S, при низком значении которых триггер управляется значениями входов J и K, иначе независимо от состояния входов J и K производится установка или сброс триггера по асинхронным входам. Таким образом, асинхронные входы R и S являются доминирующими.

71

Триггер Шмитта

Триггер Шмитта (К561ТЛ1) - это специфический вид триггера, имеющего один вход и один выход. Такой триггер еще называют несимметричным. В

триггере Шмитта переход из одного устойчивого состояния в другое осуществляется при определенных уровнях входного напряжения, называемых пороговыми уровнями. Триггер Шмитта изображен ниже.

Триггер Шмидта и графики, поясняющие принцип его работы

Если на вход триггера Шмитта подавать нарастающее напряжение (нижний график), то при некотором уровне Uп1 в момент t1 напряжение на выходе скачком переходит из состояния 0 в состояние 1. Если уменьшать напряжение на входе до некоторого напряжения Uп2 в момент t2 напряжение на выходе скачком переходит из состояния 1 в состояние 0. Явление несовпадения уровней Uп1 и Uп2 называется гистерезисом. Соответственно, передаточная характеристика триггера Шмитта обладает гистерезисным характером. Триггер Шмитта, в отличие от других триггеров, не обладает памятью и используется для формирования прямоугольных импульсов из напряжения произвольной формы.

72

14. Счетчик

Счетчиком называется последовательное цифровое устройство, предназначенное для подсчета числа импульсов, поступающих на его счетный вход. Помимо счетного входа счетчики могут иметь входы синхронной или асинхронной установки начальных состояний, а также вход асинхронного сброса R. Счетчики используются в устройстве управления компьютера при построении распределителей импульсов и организации циклов, в счетчиках команд для

формирования адреса выполняемой команды при естественном порядке выполнения и в некоторых других устройствах ЭВМ. Также счетчики широко

применяются в качестве самостоятельных узлов в различных системах цифровой автоматики.

Суть работы счетчика заключается в изменении на единицу зафиксированного в нем значения с приходом каждого счетного сигнала.

По характеру изменения состояний счетчика счетными импульсами различают суммирующие, вычитающие и реверсивные счетчики.

Суммирующие счетчики увеличивают свое значение на 1 с приходом очередного счетного импульса, т.е. осуществляют сложение.

Вычитающие счетчики уменьшают свое значение на 1 с приходом очередного счетного импульса и служат для осуществления счета в обратном направлении.

Реверсивные счетчики могут работать как на сложение, так и на вычитание в зависимости от сигналов управления.

Пример асинхронного трехразрядного двоичного суммирующего счетчика и его УГО приведены на рис.14.1.

Рис. 14.1 3-разрядный двоичный суммирующий счетчик

73

Идеализированная временная диаграмма работы этого счетчика показана на рис.14.2.

Рис. 14. 2 Временные диаграммы работы счетчика

Основными техническими параметрами счетчиков являются коэффициент пересчета Ксч и его быстродействие. Коэффициент пересчета представляет собой число различимых устойчивых состояний счетчика, и если каждый счетный импульс переводит счетчик в новое состояние, то Ксч равно максимальному числу импульсов, которое счетчик может просчитать и запомнить без повторения состояний. Значение коэффициента пересчета проставляется на УГО после аббревиатуры CT. Для двоичного счетчика

K=2n,

где n количество разрядов счетчика.

При необходимости создания счетчика, коэффициент счета которых не соответствует указанным значениям, используется исключение «избыточных» состояний.

Быстродействие счетчика зависит от максимальной частоты следования счетных импульсов, регистрируемых счетчиком без сбоев, максимальной частоты переключения состояний счетчика и времени установки состояний счетчика, и

определяется как максимальный временной интервал от момента поступления счетного импульса до момента перехода всех разрядов счетчика в новое устойчивое состояние.

Микросхемы счетчиков КМОП (ТТЛ): 4017 – СТ1024 (десятичный счетчик);

74

4022 – СТ256; 4510 (74160) – двоично-десятичный реверсивный счетчик с предустановкой

состояния; 4516 (74161) – двоичный реверсивный счетчик с предустановкой состояния;

4518 (74393) – СТ16;

 

 

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

Вход

0 1

0 1

0 1

0 1

0 1

0 1

0 1

0 1

0 1

0 1

0 1

0 1

0 1

0 1

0 1

0 1

0 1

20

Q0

0

1

0

1

0

1

0

1

0

1

0

1

0

1

0

1

0

21

Q1

0

0

1

1

0

0

1

1

0

0

1

1

0

0

1

1

0

22

Q2

0

0

0

0

1

1

1

1

0

0

0

0

1

1

1

1

0

23

Q3

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

1

0

По способу организации переносов между разрядами их можно разделить на счетчики с последовательным, сквозным, параллельным и комбинированным переносом. Счетчики с последовательным и сквозным переносом называют асинхронными, а с параллельным переносом синхронными. Обычно счетчик содержит один или несколько идентичных разрядов, построенных на основе двоичных триггеров. Количество различимых состояний разряда счетчика является его классификационным признаком, согласно которому счетчики называют двоичными, двоично-десятичными и т. д.

Асинхронные счетчики. В асинхронных счетчиках отсутствует общая для всех разрядов синхронизация, и переход разрядов в новые состояния происходит последовательно разряд за разрядом, начиная от входного, на который поступают счетные импульсы. Если рассмотреть последовательность состояний, – например, 4-разрядного суммирующего двоичного счетчика, то видно, что признаком смены

состояний любого из разрядов счетчика является переключение предыдущего разряда (или входного сигнала) из состояния «1» в «0».

75

Рис. 14.3 Временные диаграммы работы асинхронного счетчика

Перед поступлением счетных импульсов все разряды счетчика сбрасываются в состояние «0». При поступлении первого счетного импульса первый разряд

подготавливается к переходу в состояние Q0=1 и переходит при снятии счетного импульса. В счетчик записывается число 1. Уровень «1» с выхода Q0

воздействует на счетный вход второго разряда Q1, переключая его в 1 после снятия импульса с выхода Q0. В счетчик записывается число 2 (0102). По окончании 7-го импульса все разряды счетчика устанавливаются в 1. Когда на всех выходах триггеров установились единицы, говорят, что счетчик переполнен. После прихода следующего (девятого) импульса счетчик обнулится и начнется все с начала. На графиках (рис. 14.2) изменение состояний триггеров происходит с некоторой задержкой tз. На третьем разряде задержка уже утроенная.

Увеличивающаяся с увеличением числа разрядов задержка является недостатком счетчиков с последовательным переносом, что, несмотря на простоту, ограничивает их применение в устройствах с небольшим числом разрядов.

Для повышения быстродействия применяют способ одновременного формирования сигнала переноса для всех разрядов. Достигается это введением элементов И, через которые тактовые импульсы поступают сразу на входы всех разрядов счетчика. Рассмотрим схему:

76

Рис. 14. 4

Еще до переключения выхода Q0 триггера DD1 счетный импульс Т через элемент DD5-1 поступает в виде импульса переноса на вход второго разряда и элемент DD5-2, и если Q2=1, то счетный импульс проходит дальше через DD5-3 и т. д. Счетный импульс Т проходит до вентиля, на второй вход которого поступает Qi=0. При этом триггеры с первого до (i-1)-го устанавливаются в «0» а i-й триггер

в состояние «1». В N-разрядном счетчике со сквозным переносом время

установления определяется задержкой счетного импульса Т в цепях переноса и временем установления последнего из переключающихся триггеров.

Схема вычитающего счетчика отличается от суммирующего организацией цепей переноса из младших разрядов в старшие.

Рис. 14.5 Вычитающий счетчик

На практике часто необходимо менять направление счета в процессе работы. Для этого применяются реверсивные счетчики. Для построения реверсивного счетчика введем дополнительный вход, определяющий направление пересчета E и условимся, что сигнал, равный логическому «0» будет соответствовать

77

суммированию, а сигнал логической «1» – вычитанию. Тогда перенос в следующий разряд Pi будет формироваться по формуле:

Pi = EQ Ú EQ = E Å Q

В соответствии с данной формулой схема реверсивного счетчика будет выглядеть так:

Рис. 14.6 Реверсивный счетчик

На практике часто возникает необходимость построения счетчиков с модулем коэффициента счета отличным от 2N. Для этого строится обратная связь с выхода

Q соответствующего триггера на вход сброса. Например, счетчик

Рис. 14.7 Счетчик с коэффициентом счета K=5

Синхронные счетчики. К синхронным или параллельным относят счетчики, в

которых переключение разрядов происходит одновременно независимо от удаленности разряда от счетного входа. Это достигается подачей на все триггеры синхронизирующий импульсов, которые положительным или отрицательным

фронтом вызывают переключения триггеров в соответствии с логикой работы счетчика. Благодаря такой синхронизации достигается минимальное время установления счетчика, которое не превышает времени установления одного триггера. Схема простейшего суммирующего синхронного счетчика показана на рис. 14.8.

78

Рис. 14.8 Синхронный счетчик

Здесь потенциал переноса формируется последовательно по мере распространения логической «1» через элементы И.

Наращивание размерности счетчика осуществляется за счет использования старшего выхода младшего счетчика в качестве синхросигнала старшего счетчика. Таким образом реализуется счетчик с так называемой групповой структурой, в которой характер межразрядного переноса между группами может отличаться от характера переноса внутри группы.

Рис. 14.9 Наращивание размерности счетчика

79

15. Регистр

Регистрами называются функциональные узлы, предназначенные для приема, хранения, передачи и преобразования информации. Регистры используются для

построения внутренних запоминающих устройств процессора или внешнего устройства.

Регистры представляют собой совокупность триггеров и вспомогательных схем, обеспечивающих выполнение некоторых элементарных операций. Набор этих операций, в зависимости от функционального назначения регистра, может включать в себя одновременную установку всех разрядов регистра в «0», параллельную или последовательную загрузку регистра, сдвиг содержимого регистра влево или вправо на требуемое число разрядов, управляемую выдачу информации из регистра (обычно используется при работе нескольких схем на общую шину данных) и т.д.

Взависимости от способа записи информации (кода числа) различают параллельные, последовательные, параллельно-последовательные, последователь- но-параллельные и универсальные регистры.

Впараллельных регистрах (регистрах памяти) запись двоичного числа осуществляется параллельным кодом, т.е. во все разряды регистра одновременно. Их функция сводится к приему, хранению и передаче информации. Параллельные регистры часто называют регистрами хранения. Для построения параллельного n- разрядного регистра требуется n триггеров.

Впоследовательных регистрах ввод/вывод информации осуществляется через один информационный вход и один выход поразрядно со сдвигом числа. Поэтому последовательные регистры называют сдвигающими (или сдвиговыми). За один

такт вводимая или выводимая информация сдвигается на один разряд вправо или влево. Сдвигающие регистры, реализующие по команде управления сдвиги информации вправо или влево, называют реверсивными.

Последовательно-параллельные регистры имеют один информационный вход

для последовательного ввода числа в режиме сдвига и выходные элементы для выдачи n-разрядного числа параллельным кодом. Такие регистры выполняют преобразование последовательного кода в параллельный.

80

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]