

3 РЕГИСТР ТИПА PIPO
3.1 ТАБЛИЦА ИСТИННОСТИ
Ниже приведена таблица истинности 3.1 для регистра типа PIPO с
асинхронным сбросом.
Таблица 3.1 – Таблица истинности регистра типа PIPO
№ Сигнала |
1 |
2 |
3 |
||||
|
P11 |
|
a11 |
a11 |
X |
||
|
P10 |
|
a10 |
a10 |
X |
||
|
P9 |
|
a9 |
a9 |
X |
||
|
P8 |
|
a8 |
a8 |
X |
||
|
P7 |
|
a7 |
a7 |
X |
||
|
P6 |
|
a6 |
a6 |
X |
||
Входы |
P5 |
|
a5 |
a5 |
X |
||
P4 |
|
a4 |
a4 |
X |
|||
|
|
||||||
|
P3 |
|
a3 |
a3 |
X |
||
|
P2 |
|
a2 |
a2 |
X |
||
|
P1 |
|
a1 |
a1 |
X |
||
|
P0 |
|
a0 |
a0 |
X |
||
|
Reset |
0 |
0 |
1 |
|||
|
Clk |
0 |
1 |
1 → 0 |
1 → 0 |
x |
|
|
Q11 |
0 |
a11 |
0 |
|||
|
Q10 |
0 |
a10 |
0 |
|||
|
Q9 |
0 |
a9 |
0 |
|||
|
Q8 |
0 |
a8 |
0 |
|||
|
Q7 |
0 |
a7 |
0 |
|||
Выходы |
Q6 |
0 |
a6 |
0 |
|||
Q5 |
0 |
a5 |
0 |
||||
|
|||||||
|
Q4 |
0 |
a4 |
0 |
|||
|
Q3 |
0 |
a3 |
0 |
|||
|
Q2 |
0 |
a2 |
0 |
|||
|
Q1 |
0 |
a1 |
0 |
|||
|
Q0 |
0 |
a0 |
0 |
3.2 ФУНКЦИОНАЛЬНАЯ СХЕМА
Для данного счетчика необходимо реализовать асинхронный сброс.
На рисунке 3.1 представлена функциональная схема заданного регистра типа PIPO.
11

Рисунок 3.1 – Функциональная схема универсального регистра
3.3 МОДЕЛИРОВАНИЕ
Было выполнено моделирование составленной функциональной схемы из пункта 3.2. На рисунке 3.2 изображен результат моделирования в режиме
Timing.
Рисунок 3.2 – Моделирование регистра типа PIPO в режиме Timing
На рисунке 3.3 представлен результат моделирования в режиме
Functional.
Рисунок 3.3 – Моделирование регистра типа PIPO в режиме Functional
По результатам моделирования можно сделать вывод, что схема выполнена в соответствии с таблицей истинности регистра типа PIPO 3.1.
3.4 ОПИСАНИЕ С ПОМОЩЬЮ HDL
Ниже представлен код на SystemVerilog:
module PIPO ( input logic Clk, PR, input logic [11:0] P,
12

output logic [11:0] Q );
always_ff @(posedge Clk, posedge PR) if(PR) Q <= 0;
else Q <= P; endmodule
На рисунке 3.4 представлено моделирование регистра типа PIPO в
режиме Timing.
Рисунок 3.4 – Моделирование регистра типа PIPO в режиме Timing
На рисунке 3.5 представлено моделирование регистра типа PIPO в
режиме Functional.
Рисунок 3.5 – Моделирование регистра типа PIPO в режиме Functional
На рисунке 3.6 представлено изображение схемы с помощью RTL
Viewer.
Рисунок 3.6 – RTL Viewer представление
13
Заключение
В результате выполнения лабораторной работы были изучены регистры,
их принципы построения и работы.
Были реализованы и промоделированы следующие схемы:
-регистр типа SISO;
-универсальный регистр;
-регистр типа PIPO.
Отчет был оформлен согласно ОС ТУСУР 01–2021.
14