Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

лабы / Metodicheskie_ukazania_k_Laboratornym_rabotam_ALTIUM_DESIGNER

.pdf
Скачиваний:
56
Добавлен:
15.09.2021
Размер:
10.07 Mб
Скачать

Министерство науки и высшего образования Российской Федерации Национальный исследовательский университет «МИЭТ»

А.Л. Желобаев

Методические указания к лабораторным работам по курсу «САПР Altium Designer»

Утверждено редакционно-издательским советом университета

Москва 2019

1

УДК

(076)

Рецензент ст. преподаватель Д.В.Вертянов

Желобаев А.Л.

Методические указания к лабораторным работам по курсу «САПР Altium Designer». - М.: МИЭТ, 2019. - 128 с.

Представлены все этапы проектирования печатных плат САПР Altium Designer 19, начиная от создания электрических схем и заканчивая передачей информации на производство для изготовления и сборки плат. Описан процесс самостоятельной разработки небольшого электронного устройства.

Рассмотрены вопросы обеспечения качества и надежности разрабатываемых изделий. Представлены примеры эффективности использования инструментов САПР Altium Designer 19.

Предназначено для студентов, обучающихся по направлению 09.03.01

«Информатика и вычислительная техника», профиль «Аппаратно-программное обеспечение информационно-управляющих систем».

© МИЭТ, 2019

2

Учебное издание

Желобаев Алексей Львович

Методические указания к лабораторным работам по курсу «САПР Altium

Designer»

Редактор М.В. Якухина. Технический редактор Л.Г. Лосякова. Корректор Л.Г.

Лосякова. Верстка автора.

Подписано в печать с оригинал-макета . .2019. Формат 60 84 1/16. Печать

офсетная. Бумага офсетная. Гарнитура Times New Roman. Усл. печ. л. . Уч.-изд. л. .

Тираж 200 экз. Заказ .

Отпечатано в типографии ИПК МИЭТ.

124498, г. Москва, г. Зеленоград, площадь Шокина, дом 1, МИЭТ.

3

Методические указания к лабораторным работам по

курсу «САПР Altium Designer»

Содержание

 

Предисловие.....................................................................................................................

5

Лабораторная работа № 1. Создание электрической схемы. ........................................

7

Лабораторная работа № 2. Создание библиотек элементов........................................

24

Лабораторная работа № 3. Многолистовые схемы, параметры цепей. .......................

40

Лабораторная работа № 4. Топологический редактор. Создание печатной платы…46

Лабораторная работа № 5. Размещение элементов на печатной плате. ......................

55

Лабораторная работа № 6. Интерактивная трассировка. .............................................

62

Лабораторная работа № 7. Автоматическая трассировка. ...........................................

68

Лабораторная работа № 8. Оптимизация топологии. ...................................................

79

Лабораторная работа № 9. Подготовка файлов для производства...............................

86

Лабораторная работа № 10. Оформление конструкторской документации на

 

печатную плату. .............................................................................................................

92

Лабораторная работа № 11. Гибко-жёсткие платы.....................................................

102

Лабораторная работа № 12. Внесение изменений в проект. ......................................

109

Приложения. ................................................................................................................

117

Библиографический список.........................................................................................

134

4

Предисловие

Настоящий курс лабораторных работ предназначен для студентов, обучающихся по направлению 09.03.01 «Информатика и вычислительная техника», профиль

«Аппаратно-программное обеспечение информационно-управляющих систем».

Данное учебное пособие станет подспорьем для студентов в изучении принципов и получении навыков проектирования печатных плат с помощью системы автоматизированного проектирования (САПР) Altium Designer. Курс состоит из 12

лабораторных работ продолжительностью по 4 академических часа. По окончании курса обучающиеся должны овладеть всеми этапами проектирования плат, начиная с создания электрических схем и заканчивая проектированием конструкций сложных гибко-жёстких плат, оформлением конструкторской документации и подготовкой производства плат. В основу курса легли материалы из технической документации фирмы Altium Limited на программный продукт Altium Designer 19.

Внастоящее время САПР Altium Designer стала самым распространённым в России инструментом проектирования устройств на основе печатных плат за счёт отличной методологии проектирования. Работа с Altium Designer поможет студентам освоить процесс создания и реализации проекта электронного устройства от схемы и до готового изделия. Полученные навыки можно будет использовать при дальнейшем трудоустройстве.

Вначале курса каждый обучающийся получает персональное техническое задание (Приложение 1), которое к концу лабораторного практикума превращается

вполноценный проект законченного узла радиоэлектронного изделия. Отдельные лабораторные работы «выпадают» из процесса проектирования собственной печатной платы и выполняются с использованием заготовок, созданных на основе учебных примеров, входящих в состав программного продукта Altium Designer 19.

Параллельно с выполнением курса лабораторных работ, обучающиеся слушают курс лекций по САПР Altium Designer, в котором разъясняются принципы работы САПР, основные возможности системы, особенности процесса проектирования плат,

влияющие на их качество и надежность. Порядок выполнения тех или иных операций,

5

правила работы в различных редакторах системы на лекциях не обсуждаются

и изучаются непосредственно в процессе выполнения лабораторных работ.

Пошаговая инструкция, достаточная для выполнения той или иной операции, даётся в каждой лабораторной работе. Библиографический список и указанные в нём интернет-ресурсы помогут самостоятельно изучить все нерассмотренные в учебном курсе возможности САПР Altium Designer 19. Этим настоящее учебное пособие выгодно выделяется среди выпущенных ранее пособий и методологических указаний по работе с САПР Altium Designer.

6

Лабораторная работа № 1 Создание электрической схемы

Цель работы: знакомство с САПР Altium Designer 19. Приобретение навыков создания проектов в системе и разработки электрических схем.

Продолжительность работы: 4 часа.

Задание: создать в соответствии с вариантом задания электрическую схему

устройства.

Ожидаемый результат: схема устройства, созданная в схемотехническом редакторе, удовлетворяющая требованиям стандартов ЕСКД (Единая Система Конструкторской Документации)

Защита работы: предъявить на экране компьютера схему изделия, ответить на контрольные вопросы.

Теоретические сведения

САПР Altium Designer 19 – система, позволяющая осуществлять все необходимые работы по проектированию платы, начиная от разработки электрических схем и заканчивая подготовкой управляющих программ, обязательных для изготовления и сборки печатных плат. На рис.1.1 представлена структура САПР

Altium Designer 19.

Рис.1.1.

7

Как правило, процесс проектирования печатной платы в САПР происходит в

следующем порядке: получив техническое задание (см. Приложение 1) разработчик сначала создаёт новый проект в системе, в котором будут накапливаться все результаты работы по созданию данной печатной платы.

Для справки. Файлы одного проекта в Altium Designer могут храниться в разных папках компьютера, для системы это непринципиально. Однако процесс переноса проекта с одного компьютера на другой будет проще, если все его файлы будут сохраняться в одной директории.

Можно, конечно, работать, не создавая проект, просто указать отдельные файлы схем, топологий, чертежей, программ и библиотечных элементов, но это ведёт ко множеству ненужных ошибок, которые могут очень дорого обойтись разработчику.

После создания проекта разработчик начинает проектировать электрическую схему устройства. Схема создаётся из элементов (транзисторов, резисторов,

микросхем), изображённых в виде условных графических обозначений (УГО) и

электрических связей между ними. Элементы, изображаемые на схеме в виде УГО,

создаются заранее и помещаются в библиотеки элементов. Эти библиотеки помимо УГО элемента могут также содержать информацию о его топологии на печатной плате

(Footprint), его размерах (3D-модель), электрических свойствах (SPICE-, IBIS-модели)

и о других параметрах, например, о наименовании производителя, артикуле, массе,

обозначении в конструкторской документации.

Схема одного устройства может быть изображена на одном или нескольких листах и может быть иерархической. Она должна правильно отображать все связи электрических компонентов между собой, причём важно, чтобы это отображение правильно понималось как компьютером, так и человеком, читающим бумажную копию схемы.

Правила оформления электрических схем, форма и размеры элементов, толщина линий, обозначения элементов, размер текста – все это регулируется множеством стандартов. Отечественные стандарты по оформлению электрических схем относятся к разделу ЕСКД (Единая система конструкторской документации) и относятся к классу ГОСТ 2.7хх. Основополагающие документы: ГОСТ 2.701-2008 Схемы. Виды и типы. Общие требования к выполнению и ГОСТ 2.702-2011 Правила выполнения

8

электрических схем. В зарубежных стандартах изображение УГО существенным образом отличается от российского. Современные САПР, в том числе и Altium Designer 19, позволяют использовать библиотеки с любыми изображениями УГО, в

том числе с элементами, совмещёнными из разных стандартов.

Если необходимые для схемы элементы отсутствуют в имеющихся библиотеках,

то разработчик ищет их в других или создаёт сам и добавляет в библиотеки собственные новые элементы.

Для справки. Наиболее известные иностранные стандарты оформления схем:

IEC 60617 (also known as British Standard BS 3939);

ANSI Y32.2-1975 (also known as IEEE Std 315-1975 or CSA Z99-1975);

IEEE Std 91/91a: graphic symbols for logic functions (used in digital electronics). It is referenced in ANSI Y32.2/IEEE Std 315;

Australian Standard AS 1102. (based on a slightly modified version of IEC 60617, Withdrawn without replacement with a recommendation to use IEC 60617).

После того, как электрическая схема создана, она проверяется на правильность соединений (электрический контроль) и функционирование (моделирование или симуляция).

Далее разработчик приступает к проектированию самой печатной платы. Сначала в топологическом редакторе изображается контур платы и описываются все её технологические и конструктивные особенности, затем в полученную заготовку транслируется так называемый список цепей – информация об элементах схемы и их электрических связях, взятая из схемотехнического редактора.

Как только информация о схеме появляется в топологическом редакторе, можно начинать размещать элементы на печатной плате и трассировать проводники,

реализующие электрические связи. Трассировку можно проводить как вручную с разной долей интерактивности (т.е. с помощью компьютера), так и автоматически.

Можно совмещать эти процессы, выполняя часть работы одним способом, часть другим. По окончании трассировки и размещения осуществляется оптимизация топологии для улучшения параметров изделия и повышения технологичности изготовления и сборки платы.

9

После того, как плата спроектирована, остаётся лишь сгенерировать управляющие программы для её изготовления и автоматизированной установки на неё элементов, а также оформить комплект конструкторской документации на плату.

Лабораторное задание

1.Войдите в систему под своим именем. У каждого обучающегося должен быть свой логин (он написан на вашем пропуске в университет) и пароль к нему.

2.Загрузите САПР Altium Designer 19. После загрузки система направит вас к серверу лицензий (рис.1.2).

Рис.1.2.

Займите одну из свободных лицензий, дважды нажав на одну из строк списка свободных лицензий в окне Available Licensies или нажав однократно на кнопку Use.

Внимание! Лицензия, установленная на ваших компьютерах – академическая,

она предназначена для использования в учебном процессе. Применение Altium Designer 19 в классе с коммерческой целью запрещено.

3. Создайте свой собственный новый проект, выполнив команду File\New\Project

10