Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

20-03-2013_10-45-00 / 22Микросхемы памяти(ЗУ)

.doc
Скачиваний:
17
Добавлен:
10.06.2015
Размер:
71.68 Кб
Скачать

Цифровые запоминающие устройства

предназначены для записи, хранения и считывания информации, хранящейся в цифровом коде.

Каждый код хранится в отдельном элементе памяти, называемом ячейкой памяти (ЗЯ). Основная функция состоит в выдаче этих кодов на выходы ИС по внешнему запросу. Основные параметры памяти: 1) Информационная ёмкость - максимальный объем хранимой информации (количество кодов, которые могут храниться и разрядность этих кодов), определяется числом ЗЯ N=n·m (16 слов (n) по 4 разряда (m) = 64 бит (N) 32 · 2 = 64 бит. 2)Потребляемая мощность- Р, потребляемая ЗУ в установившемся режиме работы. 3)Быстродействие – промежуток времени, необходимый для записи или считывания информации. 4)Время хранения информации – интервал t, в течении которого ЗУ сохраняет информацию в заданном режиме. Основой ЗУ является матрица памяти (накопитель рис. 3)), которая состоит из n-строк. Каждая строка имеет m ячеек памяти, образующих m-разрядное слово. Выбор требуемой ячейки памяти осуществляется с помощью DC строк и столбцов путем подачи на них соответствующих адресных сигналов по соответствующим шинам. По способу обращения к массиву памяти (выдачи инф) (рис.1) делятся на адресные и безадресные (ассоциативные), в которых поиск и выборка информации осуществляется по ее содержанию (сверхоперативная кэш-память для ускорения доступа к данным и часто используемым подпрограммам). В адресных ИС памяти обращение к ЗЯ производится по их физическим координатам, задаваемым внешним двоичным кодом. Они могут быть с произвольным обращением (любой порядок следования адресов) и с последовательным обращением (выборка в порядке возрастания (убывания) адресов). Организация выборки в ИС памяти может быть одноразрядная (двухкоординатная) (рис.3) При работе ЗЯ в 2координатном режиме с помощью шин строк и столбцов выбирается любая ячейка матрицы. В этом случае разрядная шина Р, которая является общей для всех ЗЯ, используется как для записи, так и для чтения в адресованных ЗЯ. Словарная (пословная) организация выборки в ИС памяти -одновременно выбирается слово или его часть, хранящаяся в 2, 4, 8, … ЗЯ.(рис.4). при наличии в адресной шине Хi сигнала выбора i слова, соответствующего высокому уровню, состояние каждой ячейки в этом слове может быть считано по разрядным шинам Y0…Ym. Если необходимо записать информацию по выбранному адресу Х, то на разрядные шины Y подаются соответствующие электрические сигналы, которые подводятся ко всем ЗЯ i-строки (слова)

По способу хранения информации(рис.2): Статические ЗЯ - бистабильные ячейки, неизменное состояние во время хранения (рис.11 и 12) могут быть асинхронные и синхронные (статический накопитель и динамические цепи управления, требующие синхронизации). Динамические -ЗЯ - в виде конденсатора, хранящего заряд. Необх. регенерация для восстановления уровня сигналов.

По назначению (выполняемым функциям), зависимости от способа занесения (записи) информации и от способа ее хранения, микросхемы памяти разделяются на следующие основные типы:

  • Постоянная память (ПЗУ (рис.5)— постоянное запоминающее устройство, ROM — Read Only Memory — память только для чтения), в которую информация заносится один раз на этапе изготовления микросхемы. Такая память называется еще масочным ПЗУ(рис.15).. Информация в памяти не пропадает при выключении ее питания, поэтому ее еще называют энергонезависимой памятью. Структурная схема ПЗУ на рис.19.

  • Программируемая постоянная память (ППЗУ — программируемое ПЗУ, PROM — Programmable ROM), в которую информация может заноситься пользователем с помощью специальных методов (ограниченное число раз). Информация в ППЗУ тоже не пропадает при выключении ее питания, то есть она также энергонезависимая. На базе ЗЯ с плавкими перемычками (из нихрома). Процесс записи – избирательное разрушение плавких перемычек током, обеспечиваемым программатором, исходя из программы или кода пользователя. (рис.16).

Репрограммируемые (рис.10) ПЗУ (РПЗУ) с электрическим программированием делятся на ПЗУ информация в которых стирается ультрафиолетовым излучением через специальное прозрачное окошко в корпусе микросхемы (EPROM — Erasable Programmable ROM) (рис.8), электрическими сигналами (рис.7)(EEPROM — Electrically Erasable Programmable ROM). Разновидность РПЗУ- избирательное стирание в любой ЗЯ (EAROM). Запись информации в любые ППЗУ производится с помощью подачи определенных последовательностей электрических сигналов (как правило, повышенного напряжения) на выводы микросхемы. Структурная схема ПЗУ с электрическим стиранием на рис.20. Сравнительные характеристики микросхем ПЗУ приведены в табл.17

  • flash-memory, представляющей собой ППЗУ с многократным электрическим стиранием и перезаписью информации

  • Оперативная память (ОЗУ — оперативное запоминающее устройство (рис.6), RAM — Random Access Memory — память с произвольным доступом), запись информации в которую наиболее проста и может производиться пользователем сколько угодно раз на протяжении всего срока службы микросхемы. Информация в памяти пропадает при выключении ее питания (структурная схема на рис.9).

В общем случае любая микросхема памяти имеет следующие информационные выводы (рис. 5 и 6)

  • Адресные выводы (входные), образующие шину адреса (ША) памяти. Код на адресных линиях представляет собой двоичный номер ячейки памяти, к которой происходит обращение в данный момент. Количество адресных разрядов определяет количество ячеек памяти: при количестве адресных разрядов n количество ячеек памяти равно 2n.

  • Выводы данных (выходные), образующие шину данных (ШД) памяти. Код на линиях данных представляет собой содержимое той ячейки памяти, к которой производится обращение в данный момент. Количество разрядов данных определяет количество разрядов всех ячеек памяти (обычно оно бывает равным 1, 4, 8, 16). Как правило, выходы данных имеют тип выходного каскада ОК или 3С.

  • Управляющие выводы (входные), которые определяют режим работы ИС. У многих ЗУ имеется вход выбора ИС-CS (их может быть несколько, объединенных по функции И). У ОЗУ есть вход записи WR, активный уровень сигнала на котором переводит ИС в режим записи.

ПЗУ позволяют формировать сложные изображения букв и цифр — матричные. Такие изображения используются, например, в табло типа "бегущая строка", на экранах мониторов, в больших рекламных табло. Каждая буква, цифра располагается на прямоугольной матрице, состоящей из нескольких строк и нескольких столбцов точечных элементов изображения, которые могут зажигаться независимо друг от друга. ПЗУ содержит в себе информацию об изображениях всех возможных букв и цифр, но выходной код ПЗУ имеет мало разрядов, представляет собой информацию об изображении не целого символа, а только одной его строки (или столбца). Информация о целом символе занимает в ПЗУ столько ячеек, сколько в изображении символа имеется столбцов (или строк). Пример матричного знакогенератора на ПЗУ приведен на рис. 21. В данном случае используется знакоместная матрица из 8 строк и 8 столбцов. В каждую ячейку ПЗУ записывается код изображения одной из 8 строк одного из 256 символов. Изображение одного символа занимает 8 последовательно расположенных ячеек в ПЗУ. Для букв и цифр правый столбец знакоместа не используется, он служит для отделения знаков друг от друга, но для специальных символов (например, для графических) он может и использоваться. В случае матричного светодиодного индикатора перебор строк может осуществляться 3-разрядным счетчиком с дешифратором 3–8 на его выходе. В случае телевизионного монитора перебор строк осуществляется с помощью генератора вертикальной развертки изображения.

Элемент памяти ОЗУ на БПТ представляет собой RS-триггер на двухэмиттерных транзисторах. Нижние эмиттеры подключены к ША, верхние – к разрядным шинам. (рис.11). В режиме записи на ША от дешифратора поступает высокий уровень сигнала, поэтому нижние эмиттеры заперты и не оказывают влияния на транзистор. На разрядные шины 1 и 2 поступают с устройства управления МП разные уровни напряжения. Если в элементе записан 0, то транзистор VT1-открыт, а VT2-закрыт. Чтобы записать 1, то высокий уровень подается через шину 1 на верхний эмиттер транзистора VT1, он закрывается, через шину 2 на эмиттер VT2-низкий уровень – транзистор открыт. В режиме считывания протекающий через верхний эмиттер VT2 ток в шине 2 создает 1, которая передается ЗУ, Если транзисторVT 2закрыт, а VT1 открыт, то на вход ЗУ подается 0. В режиме хранения на адресную шину поступает 0, нижние эмиттеры подключены к общей точке схемы. Сигналы на верхних эмиттерах не оказывают влияния на состояние транзисторов, т.е. состояние триггеров остается неизменным. Элемент памяти на МОП-транзисторах с однокоординатной выборкой представлен на рис.12. Триггер образован транзисторами VT1-VT4. В исходном состоянии напряжения на обеих разрядных шинах 1 и 2 данных, как и на адресной шине, равны нулю. Транзисторы VT5 и VT6 закрыты, т.к. разность потенциалов между затворами и истоками по абсолютной величине меньше порогового напряжения отпирания. В режиме записи на ША с выхода дешифратора поступает высокий уровень напряжения, подключая триггеры к разрядным шинам 1 и 2, на которые поступают разные уровни напряжения: на шину 1 подается логическая 1, а на шину 2 – логический 0. Транзистор VT5 откроется и положительный потенциал поступает на затвор VT2, который переходит в открытое состояние, а транзистор VT1 в закрытое- вне зависимости от его предшествующего состояния. В режиме считывания на ША с дешифратора поступает логическая единица, а шины 1 и 2 подключаются к входам усилителей считывания, поэтому единичное состояние триггера передается на выход ЗУ.В режиме хранения на адресную шину поступает низкий уровень напряжения, закрытые транзисторы VT5 и VT6 «изолируют» триггер от разрядных шин, и его состояние остается неизменным.

Существует еще одна разновидность ОЗУ, так называемая динамическая (в отличие от статической), в которой информация хранится не в регистрах (не в триггерных ячейках), а в виде заряда на конденсаторах. Эта память отличается более низкой стоимостью, меньшим быстродействием и необходимостью регулярной регенерации ("Refresh" - "освежение") информации в ней (так как конденсаторы со временем разряжаются). Область применения динамической памяти гораздо уже, чем статической, в основном она используется в качестве системной оперативной памяти компьютеров, где соображения стоимости выходят на первый план. Выходы данных микросхем памяти имеют тип ОК (довольно редко) или 3С. Управляющие сигналы - это сигнал выбора микросхемы CS (иногда их несколько), сигнал записи WR (обычно отрицательный) и иногда сигнал разрешения выхода OE. Самые главные временные параметры оперативной памяти следующие: время выборки адреса (задержка между изменением адреса и выдачей данных); время выборки микросхемы (задержка выдачи данных по выставлению сигнала -CS); минимальная длительность сигнала записи -WR;

Для записи информации в память надо выставить код адреса на адресных входах, выставить код записываемых в этот адрес данных на входах данных, подать сигнал записи –WR и подать сигнал выбора микросхемы –CS. Порядок выставления сигналов бывает различным, он может быть существенным или несущественным (например, можно выставлять или снимать –CS раньше или позже выставления или снятия –WR). Собственно запись обычно производится сигналом -WR или –CS, причем данные должны удерживаться в течение всего сигнала –WR (или –CS) и заданное время после его окончания.

Сигнал –CS у некоторых микросхем памяти допускается держать активным (нулевым) для всех записываемых адресов и при этом подавать импульсы –WR для каждого адреса. Точно так же у некоторых микросхем допускается держать активным (нулевым) сигнал записи -WR, но при этом подавать импульсы –CS.

Для чтения информации из памяти надо выставить код адреса читаемой ячейки и подать сигналы –CS и –OE (если он имеется). Сигнал -WR в процессе чтения должен оставаться пассивным (равным единице).

Информация в ОЗУ может записываться или читаться 2 способами:

  • с параллельным или произвольным доступом (это наиболее универсальная схема);

  • с последовательным доступом (это более специфическая схема).

Параллельный или произвольный доступ наиболее прост и обычно не требует никаких дополнительных элементов, так как именно на этот режим непосредственно рассчитаны ЗУ. В этом режиме можно записывать информацию в любой адрес ОЗУ и читать информацию из любого адреса ОЗУ в произвольном порядке. Однако параллельный доступ требует формирования довольно сложных последовательностей всех входных сигналов памяти. То есть для записи информации необходимо сформировать код адреса записываемой ячейки и только потом подать данные, сопровождаемые управляющими сигналами –CS и –WR (рис.13) Точно так же необходимо подавать полный код адреса читаемой ячейки при операции чтения. Этот режим доступа чаще всего применяется в компьютерах и контроллерах, где самыми главными факторами являются универсальность и гибкость использования памяти для самых разных целей.

Запись и чтение информации в ОЗУ на рис.13. Хранение массива данных в ОЗУ на рис.14.

В случае последовательного доступа к памяти не надо задавать код адреса записываемой или читаемой ячейки, так как адрес памяти формируется схемой автоматически. Для записи информации надо всего лишь подать код записываемых данных и сопроводить его стробом записи. Для чтения информации надо подать строб чтения и получить читаемые данные. Например, десять последовательных циклов записи запишут информацию в десять последовательно расположенных ячеек памяти. Недостаток такого подхода очевиден: мы не имеем возможности записывать или читать ячейки с произвольными адресами в любом порядке. Зато существенно упрощается и ускоряется процедура обмена с памятью (запись и чтение). Можно выделить три основных типа оперативной памяти с последовательным доступом:

  • память типа "первым вошел - первым вышел" (FIFO, First In - First Out);

  • память магазинного, стекового типа, работающая по принципу "последним вошел - первым вышел" (LIFO, Last In - First Out).

  • память для хранения массивов данных.

Два первых типа памяти подразумевают возможность чередования операций записи и чтения в памяти. При этом память FIFO выдает читаемые данные в том же порядке, в котором они были записаны, а память LIFO - в порядке, обратном тому, в котором они были записаны в память. Для памяти FIFO требуется хранение двух кодов адреса (адрес для записи и адрес для чтения), для памяти LIFO достаточно одного кода адреса.

Хранение массивов в памяти предполагает, что сначала в память записывается целиком большой массив данных, а потом этот же массив целиком читается из памяти. Эта память также может быть устроена по двум принципам (FIFO и LIFO). В первом случае (FIFO) записанный массив читается в том же порядке, в котором и был записан, во втором случае (LIFO) - в противоположном порядке (начиная с конца). В обоих этих случаях для общения с памятью требуется хранить только один код адреса памяти.

ОЗУ может использоваться как информационный буфер (рис.18) для промежуточного хранения данных, передаваемых между двумя устройствами или системами. Промежуточное хранение позволяет лучше скоординировать работу устройств, участвующих в обмене данными, повысить их независимость друг от друга, согласовать скорости передачи и приема данных. (Компьютеру значительно удобнее выдавать данные со скоростью, определяемой его собственным быстродействием, но в локальную сеть надо передавать данные со строго определенной скоростью, задаваемой стандартом на сеть (например, 100 Мбит/с). Кроме того, компьютер, по возможности, не должен отвлекаться на контроль за текущим состоянием сети, за ее занятостью и освобождением.). К информационному буферу всегда имеют доступ не 1 внешнее устройство, а 2 (или даже более). Из-за этого усложняются схемы задания адреса ЗУ и разделения потоков данных. Информационные буферы бывают однонаправленными (входными или выходными) и двунаправленными (то есть входными и выходными одновременно – рис.18). Например, буферная память сетевого адаптера двунаправленная, так как она буферирует и информацию, передаваемую в сеть из компьютера, и информацию, принимаемую из сети в компьютер. Примером буфера с непрерывным режимом обмена может служить контроллер видеомонитора, информация из которого постоянно выдается на видеомонитор, но может изменяться по инициативе компьютера.

Применение буферной памяти

  • Обеспечение независимой работы двух устройств, обменивающихся информацией через буфер;

  • Согласование скоростей обмена различных устройств;

  • Постепенное накопление информации перед передачей одним массивом;

  • Выборочное чтение информации, переданной одним массивом;

  • Передача информации пакетами со стандартным обрамлением (управляющая информация).