Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
Cadence / DSD 4 / Топология.doc
Скачиваний:
24
Добавлен:
16.04.2013
Размер:
479.23 Кб
Скачать

Фрагмент tlf-файла описания элемента unvertor

cell(INVXL

Area (6.6528)

/* delay models */

timing_model(dly_A_Y_rise

(spline

(input_slew_axis 0.03 0.1 0.4 0.9 1.5 2.2 3)

(load_axis 0.000175 0.0105 0.01925 0.042 0.0735 0.1155 0.15575)

(

(0.024188:0.024188:0.024188 0.092308:0.092308:0.092308 0.149208:0.149208:0.149208 0.296529:0.296529:0.296529 0.499737:0.499737:0.499737 0.770593:0.770593:0.770593 1.030260:1.030260:1.030260)

(0.039166:0.039166:0.039166 0.109594:0.109594:0.109594 0.166091:0.166091:0.166091 0.313144:0.313144:0.313144 0.516548:0.516548:0.516548 0.787752:0.787752:0.787752 1.047130:1.047130:1.047130)

(0.081963:0.081963:0.081963 0.185908:0.185908:0.185908 0.247864:0.247864:0.247864 0.391817:0.391817:0.391817 0.593478:0.593478:0.593478 0.862879:0.862879:0.862879 1.122010:1.122010:1.122010)

(0.139160:0.139160:0.139160 0.276841:0.276841:0.276841 0.359575:0.359575:0.359575 0.530436:0.530436:0.530436 0.729038:0.729038:0.729038 0.995801:0.995801:0.995801 1.252960:1.252960:1.252960)

(0.200440:0.200440:0.200440 0.368252:0.368252:0.368252 0.465439:0.465439:0.465439 0.670187:0.670187:0.670187 0.896834:0.896834:0.896834 1.161070:1.161070:1.161070 1.415620:1.415620:1.415620)

(0.267616:0.267616:0.267616 0.463702:0.463702:0.463702 0.574906:0.574906:0.574906 0.806704:0.806704:0.806704 1.067450:1.067450:1.067450 1.357660:1.357660:1.357660 1.609370:1.609370:1.609370)

(0.341271:0.341271:0.341271 0.564647:0.564647:0.564647 0.689695:0.689695:0.689695 0.945775:0.945775:0.945775 1.235820:1.235820:1.235820 1.562030:1.562030:1.562030 1.833420:1.833420:1.833420))))

timing_model(slew_A_Y_rise

(spline

(input_slew_axis 0.03 0.1 0.4 0.9 1.5 2.2 3)

(load_axis 0.000175 0.0105 0.01925 0.042 0.0735 0.1155 0.15575)

(

(0.023308:0.023308:0.023308 0.146264:0.146264:0.146264 0.250464:0.250464:0.250464 0.521798:0.521798:0.521798 0.896554:0.896554:0.896554 1.396592:1.396592:1.396592 1.875690:1.875690:1.875690)

(0.035696:0.035696:0.035696 0.146353:0.146353:0.146353 0.250598:0.250598:0.250598 0.521594:0.521594:0.521594 0.896690:0.896690:0.896690 1.396834:1.396834:1.396834 1.876310:1.876310:1.876310)

(0.065810:0.065810:0.065810 0.188679:0.188679:0.188679 0.267710:0.267710:0.267710 0.521528:0.521528:0.521528 0.897048:0.897048:0.897048 1.396396:1.396396:1.396396 1.875556:1.875556:1.875556)

(0.104414:0.104414:0.104414 0.258856:0.258856:0.258856 0.359180:0.359180:0.359180 0.568928:0.568928:0.568928 0.899372:0.899372:0.899372 1.396784:1.396784:1.396784 1.875594:1.875594:1.875594)

(0.143467:0.143467:0.143467 0.323824:0.323824:0.323824 0.438756:0.438756:0.438756 0.684780:0.684780:0.684780 0.969978:0.969978:0.969978 1.406882:1.406882:1.406882 1.875942:1.875942:1.875942)

(0.184686:0.184686:0.184686 0.391404:0.391404:0.391404 0.516792:0.516792:0.516792 0.795824:0.795824:0.795824 1.106850:1.106850:1.106850 1.489024:1.489024:1.489024 1.903306:1.903306:1.903306)

(0.228680:0.228680:0.228680 0.462318:0.462318:0.462318 0.598140:0.598140:0.598140 0.898342:0.898342:0.898342 1.250788:1.250788:1.250788 1.634232:1.634232:1.634232 2.004640:2.004640:2.004640))))

energy_model(pwr_A_Y_rise

(spline

(input_slew_axis 0.03 0.1 0.4 0.9 1.5 2.2 3)

(load_axis 0.000175 0.0105 0.01925 0.042 0.0735 0.1155 0.15575)

(

(0.007557:0.007557:0.007557 0.007638:0.007638:0.007638 0.007459:0.007459:0.007459 0.006808:0.006808:0.006808 0.005775:0.005775:0.005775 0.004470:0.004470:0.004470 0.003174:0.003174:0.003174)

(0.008324:0.008324:0.008324 0.007752:0.007752:0.007752 0.007478:0.007478:0.007478 0.006790:0.006790:0.006790 0.005826:0.005826:0.005826 0.004543:0.004543:0.004543 0.003276:0.003276:0.003276)

(0.012948:0.012948:0.012948 0.011008:0.011008:0.011008 0.010070:0.010070:0.010070 0.008501:0.008501:0.008501 0.007072:0.007072:0.007072 0.005379:0.005379:0.005379 0.004014:0.004014:0.004014)

(0.021192:0.021192:0.021192 0.018088:0.018088:0.018088 0.016589:0.016589:0.016589 0.013827:0.013827:0.013827 0.011236:0.011236:0.011236 0.008835:0.008835:0.008835 0.006882:0.006882:0.006882)

(0.031399:0.031399:0.031399 0.027538:0.027538:0.027538 0.025221:0.025221:0.025221 0.021495:0.021495:0.021495 0.017964:0.017964:0.017964 0.014469:0.014469:0.014469 0.011837:0.011837:0.011837)

(0.043344:0.043344:0.043344 0.038398:0.038398:0.038398 0.036089:0.036089:0.036089 0.031263:0.031263:0.031263 0.026819:0.026819:0.026819 0.022300:0.022300:0.022300 0.018751:0.018751:0.018751)

(0.056938:0.056938:0.056938 0.051255:0.051255:0.051255 0.048326:0.048326:0.048326 0.042164:0.042164:0.042164 0.036927:0.036927:0.036927 0.032068:0.032068:0.032068 0.027650:0.027650:0.027650))))

timing_model(dly_A_Y_fall

(spline

(input_slew_axis 0.03 0.1 0.4 0.9 1.5 2.2 3)

(load_axis 0.000175 0.0105 0.01925 0.042 0.0735 0.1155 0.15575)

(

(0.016386:0.016386:0.016386 0.051133:0.051133:0.051133 0.079997:0.079997:0.079997 0.154681:0.154681:0.154681 0.258099:0.258099:0.258099 0.395799:0.395799:0.395799 0.527937:0.527937:0.527937)

(0.019923:0.019923:0.019923 0.066328:0.066328:0.066328 0.095020:0.095020:0.095020 0.169522:0.169522:0.169522 0.272774:0.272774:0.272774 0.409762:0.409762:0.409762 0.542649:0.542649:0.542649)

(0.012299:0.012299:0.012299 0.098541:0.098541:0.098541 0.145665:0.145665:0.145665 0.236292:0.236292:0.236292 0.338900:0.338900:0.338900 0.475944:0.475944:0.475944 0.606920:0.606920:0.606920)

(-0.014872:-0.014872:-0.014872 0.107772:0.107772:0.107772 0.174546:0.174546:0.174546 0.305923:0.305923:0.305923 0.443684:0.443684:0.443684 0.589157:0.589157:0.589157 0.720067:0.720067:0.720067)

(-0.053682:-0.053682:-0.053682 0.098695:0.098695:0.098695 0.182331:0.182331:0.182331 0.346405:0.346405:0.346405 0.518320:0.518320:0.518320 0.703189:0.703189:0.703189 0.853827:0.853827:0.853827)

(-0.102459:-0.102459:-0.102459 0.075992:0.075992:0.075992 0.175223:0.175223:0.175223 0.368990:0.368990:0.368990 0.573536:0.573536:0.573536 0.793573:0.793573:0.793573 0.972711:0.972711:0.972711)

(-0.160637:-0.160637:-0.160637 0.041674:0.041674:0.041674 0.155400:0.155400:0.155400 0.376816:0.376816:0.376816 0.612085:0.612085:0.612085 0.863317:0.863317:0.863317 1.069350:1.069350:1.069350))))

/* pin definitions */

pin(Y

pintype(output)

Function((!A))

load_limit(warn(0.15575) error(2.147483647e3))

capacitance(0.0)

)

pin(A

pintype(input)

capacitance(0.00255846)

)

pin(VDD pintype(supply) vdrop_limit(0.09))

pin(VSS pintype(ground) vdrop_limit(0.09))

/* register/latch definitions */

/* path definitions */

path(A => Y 10 01 delay(dly_A_Y_rise) slew(slew_A_Y_rise) internal_energy(pwr_A_Y_rise))

path(A => Y 01 10 delay(dly_A_Y_fall) slew(slew_A_Y_fall) internal_energy(pwr_A_Y_fall))

cell_spower(0.000000:0.000000:0.000000)

)

Фрагмент NETLIST-файла описания элемента UNVERTOR

.subckt INVX1 Y A

M0 Y A VSS VSS N l=0.18u w=0.6u

M1 VDD A Y VDD P l=0.18u w=0.9u

.ends INVX1

*.SCALE meter

.GLOBAL GND VSS VDD

Фрагмент MAP-файла для работы с GDS

NWELL drawing 10 0 t

NISL drawing 2 0 t

PISL drawing 3 0 t

TOX drawing 13 0 t

POLY drawing 4 0 t

NSEL drawing 12 0 t

PSEL drawing 8 0 t

SB drawing 20 0 t

CONT drawing 5 0 t

MET1 drawing 6 0 t

VIA1 drawing 17 0 t

MET2 drawing 18 0 t

VIA2 drawing 19 0 t

MET3 drawing 39 0 t

VIA3 drawing 47 0 t

MET4 drawing 48 0 t

VIA4 drawing 55 0 t

MET5 drawing 56 0 t

Шаг трассировочной сетки (routing pitch)

Ширина ячейки

При выполнении автоматического синтеза топологии кристалла, ячейки располагаются вплотную друг к другу вдоль рядов, образуемых шинами «Питание» и «Земля». С другой стороны, необходимо чтобы выводы (pins) ячейки располагались в узлах трассировочной сетки. Для этого нужно выполнить следующие условия:

1) ширина ячейки должна быть кратной шагу трассировочной сетки (X);

2) границы ячейки должны проходить точно посредине между линиями трассировочной сетки.

Высота ячейки

H = 12x0,66 = 7,92(um)

Ширина шин «Питание»

W=2[0,33+(0,66-0,15-0,3)] = 2[0,33+(0,21)]=1,08(um)

Выводы ячейки

Вывод ячеки (pin) должен быть сформирован как геометрическая фигура (shape). Метка вывода формируется автоматически. «Центр» метки должен лежать внутри этой фигуры. Вывод ячейки (pin) должен лежать в узле трассировочной сетки. Кроме того, на топологии необходимо предусмотреть достаточно места, чтобы обеспечить переход из Mel в Me2 через pin без нарушения DRC-соотношений.

В общем случае необходимо, чтобы разработчик топологии проверил, что все выводы в ячейке непосредственно доступны как из Mel, так и из Ме2.

Соединения при помощи поликремния

Фрагмент файла правил для проверки на DRC (Calibre)

//===============================================================================//

//========= Metal 1 Design Rule Checks =========//

//========= METAL1 (gds layer 6:0) =========//

//===============================================================================//

4.90.1

{

@ Minimum Metal 1 width is 0.23 um

x = INTERNAL Met1 < 0.23 ABUT >0<90 SINGULAR REGION

x NOT MEMORYI

}

4.90.2.1

{

@ Minimum Metal 1 space is 0.23 um

x = EXTERNAL Met1 < 0.23 ABUT >0<90 SINGULAR REGION

x NOT MEMORYI

}

4.90.2.2

{

@ Minimum wide Metal 1 space (Metal 1 width and length > 10um) is 0.6 um

Met1_wide1 = SIZE Met1 BY -5.005

Met1_wide2 = SIZE Met1_wide1 BY 5.005

Met1_wide3 = INTERNAL Met1_wide2 <= 10 ABUT >0<90 SINGULAR REGION

Met1_wide4 = Met1_wide2 NOT Met1_wide3

Met1_wide = Met1 AND Met1_wide4

Met1_narrow = Met1 NOT Met1_wide

Met1_narrow_related = Met1_narrow INTERACT Met1_wide

Met1_wide_sizeup = SIZE Met1_wide BY 1.005

Met1_selected = Met1_narrow_related INSIDE Met1_wide_sizeup

EXTERNAL Met1_selected Met1 < 0.6 ABUT >0<90 REGION

EXTERNAL Met1_wide Met1 < 0.6 ABUT >0<90 SINGULAR REGION

}

Соседние файлы в папке DSD 4