ВТиИТ 2 лаба
.docxОтчёт по лабораторной работе №2 «Исследование работы демультиплексора» студента группы ИКТ-116 Рыбакова Р.В.
1. Цель работы: изучение принципов работы демультиплексора.
Написание простейшей программы на VHDL.
2. Код программы library ieee;
use ieee.std_logic_1164.all;
entity Project2 is
port(
adr_i: in bit_vector(2 downto 0);
d: in bit;
data_out: out bit_vec
tor(7 downto 0)
);
end Project2;
architecture BBB of Project2 is
begin
process (adr_i)
begin
data_out(0)<=(not(adr_i(0)))and(not(adr_i(1)))
and
(not(adr_i(2)))and(d)
;
data_out(1)<=(adr_i(0))and(not(adr_i(1)))and
(not(adr_i(2)))and(d);
data_out(2)<=(not(adr_i(0)))and(
adr_i(1))and
(not(adr_i(2)))and(d);
data_out(3)<=(adr_i(0))and(adr_i(1))and(not(adr_i(2)))and(d
);
data_out(4)<=(not(adr_i(0)))and(not(adr_i(1)))and(adr_i(2))
and(d);
data_out(5)<=(adr_i(0))and(not(adr_i(1)))and(adr_i(2))and(d
);
data_out(6)<=(not(adr_i(0)))
and(adr_i(1))and(adr_i(2))and(d
);
data_out(7)<=(adr_i(0))and(adr_i(1))and(adr_i(2))and(d);
end process;
end ;
3. Диаграмма работы демультиплексора