Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

Отчеты / ЛАБ3

.1.pdf
Скачиваний:
18
Добавлен:
05.06.2022
Размер:
2.41 Mб
Скачать

Министерство образования и науки Российской Федерации Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования

«ТОМСКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ СИСТЕМ УПРАВЛЕНИЯ И РАДИОЭЛЕКТРОНИКИ» (ТУСУР)

Кафедра комплексной информационной безопасности электронно-

вычислительных систем (КИБЭВС)

МУЛЬТИПЛЕКСОРЫ И ДЕМУЛЬТИПЛЕКСОРЫ

Отчет по лабораторной работе №3

по дисциплине «Электроника и схемотехника 2»

Вариант №5

Выполнил:

Студент гр. 710-2

_______ _____Кузьмина А.А.

__.05.2022

Принял: преподаватель каф. КИБЭВС

_______ А.С. Семенов

__.05.2022

Томск - 2022

2

1 Введение

Цель работы: научиться создавать мультиплексоры и демультиплексоры на разных базисах, а также реализовывать функцию на мультиплексоре и дешифраторе.

Задание:

1. Составьте таблицы истинности для схем из таблицы согласно варианту. Напишите формулы для всех выходов в СДНФ или СКНФ.

Приведите формулы к требуемому базису согласно варианту;

2. Соберите схемы согласно полученным формулам. Согласно варианту создайте блок большей размерности на основе полученного блока;

3.Создайте две схемы, которые реализуют функцию по формуле из варианта задания ЛБ1: одна схема на основе мультиплексора, а вторая схема на основе дешифратора;

4.Промоделируйте работу всех схем в двух режимах. Сделайте предположение, из-за чего возникает разница в результатах моделирования разных режимов и почему;

5.Сверьте результаты моделирования с составленными таблицами истинностями;

6.Повторите п. 2, только для описания устройств вместо схем на холстах .bdf используйте заданный вариантом HDL. При масштабировании используйте модульное подключение.

7.Напишите выводы о проделанной работе

Задание по варианту:

1.MUX – 8-1, И-НЕ, 16-1;

2.DMX – 1-4, И,ИЛИ,НЕ, 2-8;

3.HDL - VHDL.

3

2 Ход работы

2.1 Мультиплексор 8-1

Таблица 1 истинности для мультиплексора 8 – 1 представлена ниже.

Таблица 1 – Таблица истинности для мультиплексора 8-1.

 

 

 

 

 

Входы

 

 

 

 

 

Выходы

 

 

 

 

 

 

 

 

 

 

 

 

 

E

D7

D6

D5

D4

D3

D2

D1

D0

a2

a1

a0

F

 

 

 

 

 

 

 

 

 

 

 

 

 

0

x

x

x

x

x

x

x

x

x

x

x

0

 

 

 

 

 

 

 

 

 

 

 

 

 

1

x

x

x

x

x

x

x

b0

0

0

0

b0

 

 

 

 

 

 

 

 

 

 

 

 

 

1

x

x

x

x

x

x

b1

x

0

0

1

b1

 

 

 

 

 

 

 

 

 

 

 

 

 

1

x

x

x

x

x

b2

x

x

0

1

0

b2

 

 

 

 

 

 

 

 

 

 

 

 

 

1

x

x

x

x

b3

x

x

x

0

1

1

b3

 

 

 

 

 

 

 

 

 

 

 

 

 

1

x

x

x

b4

x

x

x

x

1

0

0

b4

 

 

 

 

 

 

 

 

 

 

 

 

 

1

x

x

b5

x

x

x

x

x

1

0

1

b5

 

 

 

 

 

 

 

 

 

 

 

 

 

1

x

b6

x

x

x

x

x

x

1

1

0

b6

 

 

 

 

 

 

 

 

 

 

 

 

 

1

b7

x

x

x

x

x

x

x

1

1

1

b7

 

 

 

 

 

 

 

 

 

 

 

 

 

Формула для базиса И-НЕ:

4

Ниже на рисунке 1 изображена схема мультиплексора 8-1.

Рисунок 1 – Схема мультиплексора 8-1.

После построения схемы было проведено моделирование в двух режимах timing и functional. Результаты моделирования представлены на рисунках 2 и 3.

Рисунок 2 – Результат моделирования в режиме timing.

Рисунок 3 – Результат моделирования в режиме function.

5

Был написан код для мультиплексора 8-1 на VHDL. Код представлен ниже на рисунке 4.

Рисунок 4 – Код на VHDL для мультиплексора 8-1.

После компиляции кода было проведено моделирование в двух режимах timing и functional. На рисунках 5 и 6 представлено моделирование в двух режимах.

Рисунок 5 – Результат моделирования в режиме timing.

6

Рисунок 6 – Результат моделирования в режиме functional.

2.2 Мультиплексор 16-1

Ниже на рисунке 7 изображена схема мультиплексора 16-1, созданная на основе двух мультиплексоров 8-1.

Рисунок 7 – Схема мультиплексора 6-1.

После построения схемы было проведено моделирование в двух режимах timing и functional. Результаты моделирования представлены на рисунках 8 и 9.

7

Рисунок 8 – Результат моделирования в режиме timing.

Рисунок 9 – Результат моделирования в режиме function.

Был написан код на VHDL для наращивания мультиплексора 16-1. Код представлен ниже на рисунке 10.

8

Рисунок 10 - Код на VHDL для мультиплексора 16-1.

После компиляции кода было проведено моделирование в двух режимах timing и functional. На рисунках 11 и 12 представлено моделирование в двух режимах.

Рисунок 11 – Результат моделирования в режиме timimg.

9

Рисунок 12 – Результат моделирования в режиме function. 2.3 Демультиплексор 1-4

Для демультиплексора 1-4 была составлена таблица истинности.

Таблица 2 – таблица истинности для демультиплексора 1-4.

 

 

Выход

 

 

 

Вход

 

 

 

 

 

 

 

 

 

 

 

D3

D2

 

D1

D0

a1

a0

 

F

E

 

 

 

 

 

 

 

 

 

 

x

x

 

x

x

x

x

 

0

0

 

 

 

 

 

 

 

 

 

 

0

0

 

0

b0

0

0

 

b0

1

 

 

 

 

 

 

 

 

 

 

0

0

 

b1

0

0

1

 

b1

1

 

 

 

 

 

 

 

 

 

 

0

b2

 

0

0

1

0

 

b2

1

 

 

 

 

 

 

 

 

 

 

b3

0

 

0

0

1

1

 

b3

1

 

 

 

 

 

 

 

 

 

 

Формулы для базиса И,ИЛИ,НЕ:

D0 = ̅̅̅̅ ̅̅̅̅;∩ ∩ 1 ∩ 0

D1 = ̅̅̅̅ ;

∩ ∩ 1 ∩ 0

D2 = ̅̅̅̅;∩ ∩ 1 ∩ 0

10

D3 = ∩ ∩ 1 ∩ 0.

Ниже на рисунке 13 изображена схема демультиплексора 1-4.

Рисунок 13 – Схема демультиплексора 1-4.

После построения схемы было проведено моделирование в двух режимах timing и functional. Результаты моделирования представлены на рисунках 14 и 15.

Рисунок 14 – Результат моделирования в режиме timing.

Соседние файлы в папке Отчеты