
shpora2010oct17_2011
.pdfI5 : in std_logic;
I6 : in std_logic;
I7 : in std_logic;
S1 : in std_logic;
S2 : in std_logic;
S4 : in std_logic; O : out std_logic
); end entity; library IEEE;
use IEEE.std_logic_unsigned.all; architecture mux_arch of mux8to1 is
signal I : std_logic_vector(8 downto 0); signal S : std_logic_vector(2 downto 0);
begin
I(0) <= I0;
I(1) <= I1;
I(2) <= I2;
I(3) <= I3;
I(4) <= I4;
I(5) <= I5;
I(6) <= I6;
I(7) <= I7;
S(0) <= S1;
S(1) <= S2;
S(2) <= S4;
O <= I(CONV_INTEGER(S)); end architecture;
7.3 Синтез комбінаційних схем на ПЗП Мінімізувати за "1" (результат записати у вигляді ДНФ) за допомогою карти
Карно три ФАЛ4 f0(a,b,c,d), f1(a,b,c,d) та f2(a,b,c,d), які у таблиці істинності на наборах з 15-го до 0-го мають значення, що відповідають 16-м молодшими бітами (з 15-го до 0-го) 16-кових чисел 1A4D, B5E2 та 6F3C відповідно. Значення функцій на
1-, 4-, 7-, A- та D-му наборах замінити на невизначені (х). Мінімізовані ФАЛ реалізувати на постійному запам’ятовуючому пристрої (ПЗП).
Відповідь:
Для f0: 1A4D16 = 0001 1010 0100 11012;
Для f1: B5E216 = 1011 0101 1110 00102;
Для f2: 6F3C16 = 0110 1111 0011 11002.
101

Таблиця 7.42 – Суміщена таблиця істинності ФАЛ та таблиця прошиття ПЗП
ab
abc
ac bd
f0 ab ac bd abc
Рис. 7.132 Карта Карно та ДНФ для f0
ab
bd acd
f1 ab bd acd
Рис. 7.133 Карта Карно та ДНФ для f1
102

ab
bc acd cd
f2 ab bc acd cd
Рис. 7.134 Карта Карно та ДНФ для f2
Таблиця 7.43 – Статистика мінімізації f0
Таблиця 7.44 – Статистика мінімізації f1
Таблиця 7.45 – Статистика мінімізації f2
103

Рис. 7.135 Схема, яка реалізує задані ФАЛ
a
b
c
d
Рис. 7.136 Матрична схема ПЗП, який реалізує задані ФАЛ Примітка 1. Часова діаграма роботи схеми, яка реалізує задані ФАЛ.
Рис. 7.137 Часова діаграма роботи схеми, яка реалізує задані ФАЛ Примітка 2. VHDL-опис ПЗП (в описі цей ПЗП має ім’я rom16x3).
library IEEE;
use IEEE.std_logic_1164.all; entity rom16x3 is
port (
104
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
D0 : out std_logic;
D1 : out std_logic;
D2 : out std_logic
); end entity; library IEEE;
use IEEE.std_logic_unsigned.all; architecture rom_arch of rom16x3 is signal D : std_logic_vector(2 downto 0); signal A : std_logic_vector(3 downto 0); begin
A <= A3 & A2 & A1 & A0; process(A)
begin
case (A) is
when X"0" => D <= O"1"; when X"1" => D <= O"5"; when X"2" => D <= O"5"; when X"3" => D <= O"5"; when X"4" => D <= O"2"; when X"5" => D <= O"6"; when X"6" => D <= O"3"; when X"7" => D <= O"3"; when X"8" => D <= O"6"; when X"9" => D <= O"5"; when X"A" => D <= O"4"; when X"B" => D <= O"3"; when X"C" => D <= O"3"; when X"D" => D <= O"7"; when X"E" => D <= O"4"; when X"F" => D <= O"2"; when others => D <= O"0";
end case; end process; D0 <= D(0); D1 <= D(1); D2 <= D(2);
end architecture;
105
Література
1 Методичні вказівки до курсової роботи “Проектування цифрових структур” з
дисципліни "Прикладна теорія цифрових автоматів" для студентів бакалаврату 6.050102 "Комп'ютерна інженерія", видання друге, /Укл. В.С.Глухов, В.А.Голембо. Львів: НУ"ЛП", 2003.-109 с.
2 Лукащук Л.О. Схемотехніка логічних та послідовнісних схем. – Львів.
Видавництво Національного унівкерситету «Львівська політехніка», 2004. – 116 с.
3 “Прикладная теория цифровых автоматов” К.Г. Самофалов, Ю.С. Каневский,
М.М. Пиневич: Учебник для вузов Украины по спец. ЭВМ.-К.:Вища школа, 1987. 375 с.
4 “Прикладная теория цифровых автоматов” А.Я. Савельев, А.М. Романкевич,
В.Н. Валуйский: Учебник для вузов Украины по спец. ЭВМ.-М.: Высшая школа, 1987. 272 с. 5 “Арифметические и логические основы цифровых автоматов” Лысиков Б.Г.:
Учебник для вузов по спец. ЭВМ.- Минск: Высшая школа, 1980.
6“Цифрова техніка” Рицар Б.Є.: Учбовий посібник.-К.: НМК ВО, 1991.
7Мельник А.О. Архітектура комп’ютера. Підручник. – Луцьк: Волинська обласна друкарня, 2008. – 470 с.
8“Цифровые ЭВМ: Теория и проектирование” Самофалов К.Г., Корнейчук В.И.,
Тарасенко В.П.: Учебник для вузов Украины по спец. “Вычислительные машины,
комплексы, системы и сети”. 3-е изд. Вища школа, 1989.
9 “Цифровые устройства на программируемых БИС с матричной структурой” Баранов С.И., Скляров В.А.:-М: Радио и связь, 1986.
10 В.С. Князьков, Т.В. Волченская. Введение в теорию автоматов.
http://www.intuit.ru/department/algorithms/intavth/
11В.О. Чуканов, В.В. Гуров. Логические и арифметические основы и принципы работы ЭВМ. http://www.intuit.ru/department/hardware/archsys/
12Ю.В. Новиков. Введение в цифровую схемотехнику. http://www.intuit.ru/department/hardware/digs/
13М.И. Дехтярь. Введение в схемы, автоматы и алгоритмы. http://www.intuit.ru/department/ds/introsaa/
106
Зміст |
|
Вступ...................................................................................................................... |
3 |
1 Загальні відомості про цифрові схеми .............................................................. |
6 |
1.1 Транзисторні схеми елементів монобазисів............................................... |
6 |
1.2 Загальні відомості про цифрові автомати .................................................. |
7 |
1.3 Рекомендована послідовність синтезу цифрових автоматів..................... |
8 |
1.3.1. Синтез абстрактного автомата....................................................... |
8 |
1.3.1.1 Синтез алгоритма роботи автомата. .................................... |
8 |
1.3.1.2 Вибір структури автомата (Мура або Мілі). ....................... |
8 |
1.3.1.3 Фіксація алгоритма у вигляді графа. ................................... |
8 |
1.3.2. Синтез структурного автомата....................................................... |
8 |
1.3.2.1 Вибір елементної бази комбінаційної частини. .................. |
8 |
1.3.2.2 Вибір елементної бази пам’яті автомата............................. |
8 |
1.3.2.3 Вибір способу кодування вхідних та вихідних сигналів.... |
8 |
1.3.2.4 Вибір способу кодування внутришніх станів автомата...... |
8 |
1.3.2.5 Створення таблиці переходів автомата............................... |
8 |
1.3.2.6 Створення таблиці виходів автомата................................... |
8 |
1.3.2.7 Мінімізація формул для сигналів збудження тригерів автомата.
Фіксація результатів у вигляді диз’юнктивної нормальної форми (ДНФ). 8 1.3.2.8 Мінімізація формул для виходів автомата. Фіксація результатів у
вигляді диз’юнктивної нормальної форми (ДНФ).......................... |
8 |
|||||
1.3.3. Синтез пам’яті автомата................................................................. |
8 |
|||||
1.3.4. Синтез комбінаційної частини автомата...................................... |
8 |
|||||
2 Тригери – елементи пам’яті цифрових автоматів............................................. |
9 |
|||||
2.1 |
RS-тригер ..................................................................................................... |
9 |
||||
2.2 |
|
|
|
|
|
10 |
|
RS -тригер................................................................................................... |
|||||
2.3 |
D-тригер, що спрацьовує по тілу.............................................................. |
11 |
||||
2.4 |
D-тригер, що спрацьовує по фронту......................................................... |
12 |
||||
2.5 |
Т-тригер...................................................................................................... |
14 |
||||
2.6 |
T-тригер з входом дозволу роботи............................................................ |
16 |
||||
|
107 |
|
2.7 |
JK-тригер.................................................................................................... |
17 |
3 Найпростіші тригерні схеми............................................................................ |
20 |
|
3.1 |
Регістр зсуву............................................................................................... |
20 |
3.2 |
Лічильник на T-тригерах........................................................................... |
21 |
3.3 |
Лічильник на D-тригерах .......................................................................... |
23 |
3.4 |
Лічильник на JK-тригерах......................................................................... |
24 |
4 Структура цифрових автоматів ....................................................................... |
27 |
|
4.1 |
Мінімальна кількість зворотних зв’язків в автоматі Мура ..................... |
27 |
4.2 |
Кількість тригерів у пам’яті автомата Мура при двійковому кодуванні |
|
станів.......................................................................................................................... |
|
28 |
4.3 |
Кількість тригерів у пам’яті автомата Мура при унітарному кодуванні |
|
станів.......................................................................................................................... |
|
29 |
4.4 |
Мінімальна кількість зворотних зв’язків в автоматі Мілі....................... |
30 |
4.5 |
Кількість тригерів у пам’яті автомата Мілі при двійковому кодуванні |
|
станів.......................................................................................................................... |
|
32 |
4.6 |
Кількість тригерів у пам’яті автомата Мілі при унітарному кодуванні |
|
станів.......................................................................................................................... |
|
33 |
5 Синтез цифрових автоматів на жорсткій логіці.............................................. |
35 |
|
5.1 |
Автомат Мура на елементах базису Буля і D-тригерах, що спрацьовують |
|
по фронту (двійкове кодування станів).................................................................... |
35 |
|
5.2 |
Автомат Мура на елементах монобазису І-НЕ та D-тригерах, що |
|
спрацьовують по фронту (двійкове кодування станів) ........................................... |
38 |
|
5.3 |
Автомат Мура на елементах базису Буля і D-тригерах, що спрацьовують |
|
по фронту (сусіднє кодування станів)...................................................................... |
42 |
|
5.4 |
Автомат Мура на елементах монобазису І-НЕ і D-тригерах, що |
|
спрацьовують по фронту (сусіднє кодування станів) ............................................. |
46 |
|
5.5 |
Автомат Мура на елементах базису Буля і D-тригерах, що спрацьовують |
|
по фронту (унітарне кодування станів).................................................................... |
49 |
|
5.6 |
Автомат Мура на елементах монобазису І-НЕ і D-тригерах, що |
|
спрацьовують по фронту (унітарне кодування станів) ........................................... |
53 |
|
|
108 |
|
5.7 Автомат Мура на елементах базису Буля і T-тригерах з входом дозволу |
||
роботи CE (двійкове кодування станів) ................................................................... |
56 |
|
5.8 |
Автомат Мура на елементах базису Буля і JK-тригерах (двійкове |
|
кодування станів) ...................................................................................................... |
60 |
|
5.9 Автомат Мілі на елементах базису Буля і D-тригерах, що спрацьовують |
||
по фронту (двійкове кодування станів).................................................................... |
64 |
|
5.10 Автомат Мілі на елементах базису Буля і D-тригерах, що спрацьовують |
||
по фронту (сусіднє кодування станів)...................................................................... |
68 |
|
5.11 Автомат Мілі на елементах базису Буля і D-тригерах, що спрацьовують |
||
по фронту (унітарне кодування станів).................................................................... |
71 |
|
5.12 Більш складний автомат Мура на елементах базису Буля і D-тригерах, |
||
що спрацьовують по фронту (двійкове кодування станів) ..................................... |
75 |
|
6 Синтез мікропрограмних цифрових автоматів............................................... |
82 |
|
6.1 |
Мікропрограмний автомат Мура на D-тригерах, що спрацьовують по |
|
фронту........................................................................................................................ |
|
82 |
6.2 |
Мікропрограмний автомат Мілі на D-тригерах, що спрацьовують по |
|
фронту........................................................................................................................ |
|
85 |
6.3 |
Більш складний мікропрограмний автомат Мура на D-тригерах, що |
|
спрацьовують по фронту........................................................................................... |
89 |
|
7 Синтез комбінаційних схем цифрових автоматів на базових елементах...... |
96 |
|
7.1 |
Синтез комбінаційних схем на дешифраторах ........................................ |
96 |
7.2 |
Синтез комбінаційних схем на мультиплексорах.................................... |
98 |
7.3 |
Синтез комбінаційних схем на ПЗП....................................................... |
101 |
Література.......................................................................................................... |
106 |
109
НАВЧАЛЬНЕ ВИДАННЯ
МЕТОДИЧНІ ВКАЗІВКИ
«Приклади використання теорії цифрових автоматів та комп’ютерної логіки. Послідовнісні схеми»
до практичних занять з дисципліни «Прикладна теорія цифрових автоматів» та
«Комп’ютерна логіка» для студентів бакалаврату 6.050102
"Комп'ютерна інженерія"
Укладач: Глухов Валерій Сергійович
Редактор
Комп’ютерне складання
Підписано до друку 14.10.2010 р. Формат 70 х 100 1/16. Папір офсетний.
Друк на різографі. Умовн. друк. арк. __. Обл.-вид. арк. ___. Наклад ____ прим. Зам. 3217.
Поліграфічний центр Видавництва Національного університету “Львівська політехніка”
Вул. Колесси, 2, 79000, Львів
110