Скачиваний:
7
Добавлен:
31.05.2023
Размер:
140.96 Кб
Скачать

Протокол к лабораторной работе №1.

ОСНОВЫ РАЗРАБОТКИ ПРОЕКТА В СРЕДЕ VIVADO.

Цель работы

Изучение среды разработки цифровых устройств на ПЛИС Xilinx Vivado 2016.4. Написание модулей на языке Verilog и тестирующих окружений. Проверка работоспособности разработанного устройства на временной симуляции в XSim.

1)

AND-NOT AND OR NOT

X1

X2

AND_NOT

0

0

1

0

1

1

1

0

1

1

1

0

X1

X2

AND

0

0

0

0

1

0

1

0

0

1

1

1

X1

X2

OR

0

0

0

0

1

1

1

0

1

1

1

1

X1

NOT

0

1

1

0

2) initial – это блок инициализации, который выполняется один раз.

i – целочисленная переменная. Нужна для реализации счётчика через цикл. Причём значение i в двоичном виде присваивается входам. Каждый разряд числа соответственно каждому входу.

3) Один такт это 10 нс. То есть через каждые 10 нс значения на входах меняются.

4) Входные и выходные значения из диаграммы

in

out

[7]

0

1

[6]

0

0

[5]

1

1

[4]

0

1

[3]

0

1

[2]

0

1

[1]

1

1

[0]

1

1

5)

Соседние файлы в предмете Основы проектирования электронных средств на ПЛИС