Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
Лр3 / Lab3-zadanie_1(1).docx
Скачиваний:
18
Добавлен:
16.09.2021
Размер:
78.73 Кб
Скачать

Задание на лабораторную работу №3

Вариант 23

1. Собрать схему асинхронного RS-триггера в текстовом редакторе. Для этого воспользоваться кодом из приложения.

Изучить схему, реализованную в RTL-Viewer.

Построить временные диаграммы, иллюстрирующие работу устройства.

Запрограммировать в отладочную плату. Тип ПЛИС – Altera Cyclone IV E EP4CE22F17C6. Указать входные сигналы на SW1(set), SW2(reset), выходной – на LED1.

2. Собрать схему асинхронного DL-триггера в текстовом редакторе. Для этого воспользоваться кодом из приложения.

Изучить схему, реализованную в RTL-Viewer.

Построить временные диаграммы, иллюстрирующие работу устройства.

Запрограммировать в отладочную плату. Указать входные сигналы на SW1(data), SW2(load), выходной – на LED1.

Пример фрагмента кода на Verilog

RS- триггер

DL- триггер

module s_r_ff (set, reset, q);

input set, reset;

output q;

reg q;

always @ (posedge set or posedge reset)

begin

if (set)

q <= 1'b1;

else if (reset)

q <= 1'b0;

end

endmodule

module d_l_ff (data, load, q);

input data, load;

output q;

reg q;

always @ (load or data)

begin

if (load)

q <= data;

end

endmodule

В отчете должно быть: текст программы, скриншоты из RTL-Viewer, PinPlanner, временные диаграммы при наличии задержек.

Задание на лабораторную работу №3

Вариант 24

1. Собрать схему асинхронного rs-триггера в текстовом редакторе. Для этого воспользоваться кодом из приложения.

Изучить схему, реализованную в RTL-Viewer.

Построить временные диаграммы, иллюстрирующие работу устройства.

Запрограммировать в отладочную плату. Тип ПЛИС – Altera Cyclone IV E EP4CE22F17C6. Указать входные сигналы на SW3(set), SW4(reset), выходной – на LED2.

2. Собрать схему асинхронного dl-триггера в текстовом редакторе. Для этого воспользоваться кодом из приложения.

Изучить схему, реализованную в RTL-Viewer.

Построить временные диаграммы, иллюстрирующие работу устройства.

Запрограммировать в отладочную плату. Указать входные сигналы на SW5(data), SW6(load), выходной – на LED2.

Пример фрагмента кода на Verilog

RS- триггер

DL- триггер

module s_r_ff (set, reset, q);

input set, reset;

output q;

reg q;

always @ (posedge set or posedge reset)

begin

if (set)

q <= 1'b1;

else if (reset)

q <= 1'b0;

end

endmodule

module d_l_ff (data, load, q);

input data, load;

output q;

reg q;

always @ (load or data)

begin

if (load)

q <= data;

end

endmodule

В отчете должно быть: текст программы, скриншоты из RTL-Viewer, PinPlanner, временные диаграммы при наличии задержек.

Задание на лабораторную работу №3

Вариант 25

1. Собрать схему асинхронного rs-триггера в текстовом редакторе. Для этого воспользоваться кодом из приложения.

Изучить схему, реализованную в RTL-Viewer.

Построить временные диаграммы, иллюстрирующие работу устройства.

Запрограммировать в отладочную плату. Тип ПЛИС – Altera Cyclone IV E EP4CE22F17C6. Указать входные сигналы на SW5(set), SW6(reset), выходной – на LED3.

2. Собрать схему асинхронного dl-триггера в текстовом редакторе. Для этого воспользоваться кодом из приложения.

Изучить схему, реализованную в RTL-Viewer.

Построить временные диаграммы, иллюстрирующие работу устройства.

Запрограммировать в отладочную плату. Указать входные сигналы на SW3(data), SW4(load), выходной – на LED4.

Пример фрагмента кода на Verilog

RS- триггер

DL- триггер

module s_r_ff (set, reset, q);

input set, reset;

output q;

reg q;

always @ (posedge set or posedge reset)

begin

if (set)

q <= 1'b1;

else if (reset)

q <= 1'b0;

end

endmodule

module d_l_ff (data, load, q);

input data, load;

output q;

reg q;

always @ (load or data)

begin

if (load)

q <= data;

end

endmodule

В отчете должно быть: текст программы, скриншоты из RTL-Viewer, PinPlanner, временные диаграммы при наличии задержек.

Задание на лабораторную работу №3

Вариант 1

1. Собрать схему асинхронного rs-триггера в текстовом редакторе. Для этого воспользоваться кодом из приложения.

Изучить схему, реализованную в RTL-Viewer.

Построить временные диаграммы, иллюстрирующие работу устройства.

Запрограммировать в отладочную плату. Тип ПЛИС – Altera Cyclone IV E EP4CE22F17C6. Указать входные сигналы на SW1(set), SW6(reset), выходной – на LED7.

2. Собрать схему асинхронного dl-триггера в текстовом редакторе. Для этого воспользоваться кодом из приложения.

Изучить схему, реализованную в RTL-Viewer.

Построить временные диаграммы, иллюстрирующие работу устройства.

Запрограммировать в отладочную плату. Указать входные сигналы на SW3(data), SW7(load), выходной – на LED3.

Пример фрагмента кода на Verilog

RS- триггер

DL- триггер

module s_r_ff (set, reset, q);

input set, reset;

output q;

reg q;

always @ (posedge set or posedge reset)

begin

if (set)

q <= 1'b1;

else if (reset)

q <= 1'b0;

end

endmodule

module d_l_ff (data, load, q);

input data, load;

output q;

reg q;

always @ (load or data)

begin

if (load)

q <= data;

end

endmodule

В отчете должно быть: текст программы, скриншоты из RTL-Viewer, PinPlanner, временные диаграммы при наличии задержек.

Задание на лабораторную работу №3

Вариант 2

Соседние файлы в папке Лр3