Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
Lekz1_АПКС.doc
Скачиваний:
0
Добавлен:
01.05.2025
Размер:
1.53 Mб
Скачать

5. Дополнительный материал

5.1 VHDL проект шифрующего/дешифрующего (криптографического) процессора оперирующего с ASCII кодами и построенного на использовании одного из вариантов логических методов шифрования (Здесь используются сдвиговые операции, и данные представлены типом unsigned).

library IEEE;

use IEEE.STD_LOGIC_1164.all;

use IEEE.NUMERIC_STD.all;

entity std_shift1 is

port(

clk : in STD_LOGIC;

data_std_in : in unsigned(7 downto 0);

kluch : in integer range 0 to 255;

shifr_data_std : out unsigned(7 downto 0);

desshifr_datd_std : out unsigned(7 downto 0));

end std_shift1;

architecture std_shift1a of std_shift1 is

begin

process(clk)

variable data_in_prom : unsigned(7 downto 0);

variable data_prom_Sdv_right,data_prom_Sdv_left : unsigned(7 downto 0);

begin

data_in_prom := data_std_in;

data_prom_Sdv_left := data_in_prom sll kluch;

shifr_data_std <= data_prom_Sdv_left;

data_prom_Sdv_right := data_prom_Sdv_left srl kluch;

desshifr_datd_std <= data_prom_Sdv_right;

end process;

end std_shift1a;

Примечание:

Все сдвиговые операции над данными типа unsigned определены в пакете NUMERIC_STD библиотеки IEEE.

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]